JP2003216271A - 半導体集積回路 - Google Patents

半導体集積回路

Info

Publication number
JP2003216271A
JP2003216271A JP2002017400A JP2002017400A JP2003216271A JP 2003216271 A JP2003216271 A JP 2003216271A JP 2002017400 A JP2002017400 A JP 2002017400A JP 2002017400 A JP2002017400 A JP 2002017400A JP 2003216271 A JP2003216271 A JP 2003216271A
Authority
JP
Japan
Prior art keywords
clock
flip
input
group
delay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002017400A
Other languages
English (en)
Inventor
Setsuya Kobayashi
節也 小林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP2002017400A priority Critical patent/JP2003216271A/ja
Publication of JP2003216271A publication Critical patent/JP2003216271A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Synchronisation In Digital Transmission Systems (AREA)

Abstract

(57)【要約】 【課題】複数のLSI間におけるデータ転送時のタイミ
ングエラーの発生を防ぐための入出力信号のタイミング
設計をより少ない制約下で容易に行うことができるよう
にする。 【解決手段】半導体集積回路10に、前段のLSIから
の入力クロックEXP−CLKと基準クロックCLKと
の位相差によって最適な遅延情報を出力するクロック遅
延情報検出回路6、クロック遅延情報検出回路6から出
力された遅延情報に基づいて選択されたクロックをF/
F群1に供給するクロック選択回路5、F/F群2に基
準クロックCLKを供給するクロック分配回路7を設け
た。前段のLSIから入力されたクロックEXP−CL
Kの基準クロックCLKに対する遅延量に応じて、基準
クロックCLKを互いに異なる遅延量で遅延させた複数
のクロックのうち最適なクロックが入力ピン直後のF/
F群1に供給される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、内部クロックを
ツリー状に分配して入出力信号のタイミングを調整する
クロックツリーシンセシス(以下、CTSという。)を
用いて設計される半導体集積回路に関する。
【0002】
【従来の技術】従来より、高速データ転送を行う半導体
集積回路であるディジタルLSIでは、入出力信号のタ
イミング設計を容易にするため、内部クロックをツリー
状に分配して遅延量を一定化したクロックを全てのフリ
ップフロップ(以下、F/Fともいう。)に供給するC
TSを用いて設計されている。
【0003】ところが、LSI単体ではクロックの遅延
量が略一定化しているためにCTSによって比較的容易
に入出力信号のタイミングを考慮した設計が可能である
が、複数のLSI間では両者のクロックの遅延量の差異
が問題となるために入出力信号のタイミング設計が困難
になり、特に、100MHz以上の高速のデータ転送を
行う場合にはタイミング設計が極めて困難になる。
【0004】即ち、CTSによって、LSIに入力され
たクロックを要求されるファンアウトを確保しながら、
全てのF/Fに対して略一定の遅延量で供給することが
できる。しかし、LSIの大規模化によって搭載される
F/Fが増加すると、十分なファンアウトを確保しつつ
全てのF/Fに対して一定の遅延量でクロックを供給す
るためには、大量の遅延素子がクロックライン上に付加
されることになる。このため、LSIとLSIとの間で
のデータ転送時には、各LSIのクロック遅延量のばら
つきにより、容易にタイミングマージンを確保すること
が困難になる。
【0005】そこで、特開平11−289322号公報
には、LSI間の接続状態をテストするためのバウンダ
リスキャン回路を用いてクロックの位相を調整するよう
にした構成が開示されている。この構成により、セット
アップ/ホールド特性やクロックアクセス等のAC特性
を任意に変更することができ、信号のタイミング調整を
フレキシブルに行うことができるとされている。
【0006】
【発明が解決しようとする課題】しかしながら、特開平
11−289322号公報に開示されている構成におい
て使用されるバウンダリスキャン回路には、最低でも4
本の専用の入出力ピンを確保する必要があり、LSIに
おける入出力信号のタイミング設計時の制約が増え、自
由な設計が困難になる問題がある。
【0007】この発明の目的は、1本の専用ピンのみを
用いてLSIのAC特性を自動的に変更できるように
し、単体のLSI内部のみならず、複数のLSI間にお
けるデータ転送時のタイミングエラーの発生を防ぐため
の入出力信号のタイミング設計をより少ない制約下で容
易に行うことができる半導体集積回路を提供することに
ある。
【0008】
【課題を解決するための手段】この発明は、上記の課題
を解決するための手段として、以下の構成を備えてい
る。
【0009】(1)入力ピン直後のデータ送受信を行う
第1フリップフロップ群と、それ以外の回路内の第2フ
リップフロップ群と、前段のLSIから入力されたクロ
ックと基準クロックとの遅延量を検出して最適な遅延情
報を出力するクロック遅延情報検出回路と、基準クロッ
クを互いに異なる遅延量で遅延させた複数のクロックを
生成するとともにクロック遅延情報検出回路の結果に基
づいて最適なクロックを選択して第1フリップフロップ
群に供給するクロック選択手段と、を設けたことを特徴
とする。
【0010】この構成においては、前段のLSIから入
力されたクロックと基準クロックとの遅延量に応じて、
基準クロックを互いに異なる遅延量で遅延させた複数の
クロックのうちで最適なクロックが入力ピン直後のデー
タ送受信を行うフリップフロップ群に供給される。した
がって、入力ピン直後のフリップフロップに入力される
クロックの位相が前段のLSIのクロックの基準クロッ
クに対する遅延量に応じて自動的に変更され、基準クロ
ックに対するLSI入力データのタイミングマージンが
十分に確保される。
【0011】(2)出力ピン直前のデータ送受信を行う
第3フリップフロップ群と、それ以外の回路内の第4フ
リップフロップ群と、第4フリップフロップ群の最終段
のフリップフロップに入力されたクロックと基準クロッ
クとの遅延量を検出して最適な遅延情報を出力するクロ
ック遅延情報検出回路と、基準クロックを互いに異なる
遅延量で遅延させた複数のクロックを生成するとともに
クロック遅延情報検出回路の検出結果に基づいて最適な
クロックを選択して第3フリップフロップ群に供給する
クロック選択装置と、を設けたことを特徴とする。
【0012】この構成においては、出力ピン直前のフリ
ップフロップの前段のフリップフロップに入力されたク
ロックと基準クロックとの遅延量に応じて、基準クロッ
クを互いに異なる遅延量で遅延させた複数のクロックの
うちで最適なクロックが出力ピン直前のデータ送受信を
行うフリップフロップ群に供給される。したがって、出
力ピン直前のフリップフロップに入力されるクロックの
位相がそのフリップフロップの前段のフリップフロップ
に入力されたクロックの基準クロックに対する遅延量に
応じて自動的に変更され、基準クロックに対するLSI
出力データのタイミングマージンが十分に確保される。
【0013】(3)入力ピン直後のデータ受信を行う第
5フリップフロップ群と、出力ピン直前のデータ送受信
を行う第6フリップフロップ群と、それ以外の回路内の
第7フリップフロップ群と、前段のLSIから入力され
たクロック及び第7フリップフロップ群の最終段のフリ
ップフロップに入力されたクロックのそれぞれと基準ク
ロックとの遅延量を検出して最適な遅延情報を出力する
クロック遅延情報検出回路と、基準クロックを互いに異
なる遅延量で遅延させた複数のクロックを生成するとと
もにクロック遅延情報検出回路の結果に基づいて最適な
クロックを選択して第5フリップフロップ群及び第6フ
リップフロップ群に供給するクロック選択手段と、を設
けたことを特徴とする。
【0014】この構成においては、前段のLSIから入
力されたクロックと基準クロックとの遅延量、及び、出
力ピン直前のフリップフロップの前段のフリップフロッ
プに入力されたクロックと基準クロックとの遅延量に応
じて、基準クロックを互いに異なる遅延量で遅延させた
複数のクロックのうちで最適なクロックが、入力ピン直
後のデータ送受信を行うフリップフロップ群、及び、出
力ピン直前のデータ送受信を行うフリップフロップ群に
供給される。したがって、入力ピン直後のフリップフロ
ップに入力されるクロックの位相が前段のLSIのクロ
ックの基準クロックに対する遅延量に応じて自動的に変
更されるとともに、出力ピン直前のフリップフロップに
入力されるクロックの位相がそのフリップフロップの前
段のフリップフロップに入力されたクロックの基準クロ
ックに対する遅延量に応じて自動的に変更され、基準ク
ロックに対するLSI入出力データのタイミングマージ
ンが十分に確保される。
【0015】(4)前記クロック遅延情報検出回路に代
えて、遅延情報として任意に設定された設定値をクロッ
ク選択回路に出力するレジスタ回路を設けたことを特徴
とする。
【0016】この構成においては、任意に設定された遅
延量に応じて、基準クロックを互いに異なる遅延量で遅
延させた複数のクロックのうちで最適なクロックが、入
力ピン直後のデータ送受信を行うフリップフロップ群、
又は、出力ピン直前のデータ送受信を行うフリップフロ
ップ群に供給される。したがって、入力ピン直後のフリ
ップフロップに入力されるクロックの位相、又は、出力
ピン直前のフリップフロップに入力されるクロックの位
相が、任意に設定された遅延量に応じて変更され、基準
クロックに対するLSI入出力データのタイミングマー
ジンを十分に確保するためのタイミング設計が容易にな
る。
【0017】
【発明の実施の形態】図1は、この発明の第1の実施形
態に係る半導体集積回路として、入力ピン直後のF/F
に遅延時間を変化させて基準クロックを入力する場合の
回路構成を示す図である。半導体集積回路10は、入力
ピンの直後においてデータを送受信するF/F群(この
発明の第1F/F群)1、F/F群1以外のF/F群
(この発明の第2F/F群)2、半導体集積回路10の
前段に配置された図示しないLSIからの入力クロック
EXP−CLKと基準クロックCLKとの位相差によっ
て最適な遅延情報を出力するクロック遅延情報検出回路
6、クロック遅延情報検出回路6から出力された遅延情
報に基づいて選択されたクロックをF/F群1に供給す
るクロック選択回路5、及び、F/F群2に基準クロッ
クCLKを供給するクロック分配回路7を備えている。
【0018】クロック選択回路5及びクロック分配回路
7は、それぞれに接続されている全てのF/Fに対し
て、入力された基準クロックCLKのファンアウトを確
保しつつ、クロックCLK−A及びCLK−Bを供給す
る。
【0019】このため、先ず、入力データA〜Cのそれ
ぞれをクロックCLK−Aの立ち上がりエッジタイミン
グでF/F群1に含まれるF/F−A,F/F−B,F
/F−Cのそれぞれに格納する。次に、F/F−A及び
F/F−Cの出力A′及びC′を処理A部で処理すると
ともに、同様にF/F−B及びF/F−Cの出力B′及
びC′を処理B部で処理し、それぞれの処理結果をクロ
ックCLK−Bの立ち上がりエッジタイミングでF/F
群2に含まれるF/F−D及びF/F−Eに格納する。
さらに、F/F−Dの出力AC′及びF/F−Eの出力
BC′を処理C部で処理し、この処理結果ABC′をク
ロックCLK−Bの立ち上がりエッジタイミングでF/
F群2に含まれるF/F−Fに格納した後、出力データ
OUTとして出力する。
【0020】図2は、図1に示す回路における各部の信
号波形を示すタイミングチャートである。基準クロック
CLKに対するクロック分配回路7の出力CLK−Bの
遅延時間T1は、基準クロックCLKに対するクロック
選択回路5の出力クロックCLK−Aの遅延時間T2に
比較して十分に短い。したがって、後段のLSIに出力
する出力データOUTについて、入力データA〜Cに対
する十分なタイミングマージンを確保することができ
る。
【0021】図3は、上記半導体集積回路におけるクロ
ック遅延情報検出回路の構成を示すブロック図である。
この発明の半導体集積回路1において、クロック遅延情
報検出回路6は、基準クロックCLKを互いに異なる複
数の遅延量で遅延させる遅延素子6a、カスケード接続
された複数のF/F6b〜6e、及び、F/F6b〜6
eのそれぞれの出力を比較する制御部6fを備えてい
る。制御部6fは、最適な遅延情報を選択して出力す
る。
【0022】図4は、従来の半導体集積回路の構成を示
す図である。また、図5は、従来の半導体集積回路にお
ける各部の信号波形を示すタイミングチャートである。
従来の半導体集積回路100では、全てのF/F−A〜
F/F−Fに対して、クロック分配回路109を介して
同一のクロックCLK−Cが供給されていた。このた
め、基準クロックCLKに対する出力データOUTの遅
延時間T3が、図2に示した遅延時間T1よりも長くな
り、入力データA〜Cに対する出力データOUTのタイ
ミングマージンが不十分になる。
【0023】図6は、この発明の第2の実施形態に係る
半導体集積回路として、出力ポート直前のF/Fに遅延
時間を変化させて基準クロックを入力する場合の回路構
成を示す図である。この実施形態に係る半導体集積回路
20は、出力ピンの直前のデータを送受信するF/F群
(この発明の第3F/F群)21、それ以外のF/F群
(この発明の第4F/F群)22、F/F群22にクロ
ックCLK−Dを供給するクロック分配回路25、クロ
ックCLK−Dと基準クロックCLKとの位相差から最
適な遅延情報を出力するクロック遅延情報検出回路2
3、及び、クロック遅延情報検出回路23からの遅延情
報に基づいて選択したクロックCLK−EをF/F群2
1に供給するクロック選択回路24を備えている。
【0024】クロック選択回路24及びクロック分配回
路25は、それぞれに接続されている全てのF/Fに対
して、基準クロックCLKのファンアウトを確保しつ
つ、クロックCLK−E及びクロックCLK−Dを供給
する。
【0025】このため、先ず、入力データA〜Cのそれ
ぞれをクロックCLK−Dの立ち上がりエッジタイミン
グでF/F群22に含まれるF/F−A,F/F−B,
F/F−Cのそれぞれに格納する。次に、F/F−A及
びF/F−Cの出力A′及びC′を処理A部で処理する
とともに、同様にF/F−B及びF/F−Cの出力B′
及びC′を処理B部で処理し、それぞれの処理結果をク
ロックCLK−Dの立ち上がりエッジタイミングでF/
F群22に含まれるF/F−D及びF/F−Eに格納す
る。さらに、F/F−Dの出力AC′及びF/F−Eの
出力BC′を処理C部で処理し、この処理結果ABC′
をクロックCLK−Eの立ち上がりエッジタイミングで
F/F群21に含まれるF/F−Fに格納した後、出力
データOUTとして出力する。
【0026】図7は、図6に示す回路における各部の信
号波形を示すタイミングチャートである。基準クロック
CLKに対するクロック分配回路25の出力CLK−D
の遅延時間T4は、基準クロックCLKに対するクロッ
ク選択回路24の出力クロックCLK−Eの遅延時間T
5に比較して十分に長い。これによって、前段のLSI
からの入力に対して予め十分なセットアップ時間を確保
することができる。
【0027】図8は、この発明の第3の実施形態に係る
半導体集積回路として、入力ポート直後のF/F、及
び、出力ポート直前のF/Fに対して、遅延時間を変化
させて基準クロックを入力する場合の回路構成を示す図
である。この実施形態に係る半導体集積回路30は、入
力ピンの直後のデータを送受信するF/F群(この発明
の第5F/F群)31、出力ピンの直前のデータを送受
信するF/F群32(この発明の第6F/F群)、それ
以外のF/F群33(この発明の第7F/F群)、半導
体集積回路30の前段に配置された図示しないLSIか
らの入力クロックEXP−CLKと基準クロックCLK
との位相差によって最適な遅延情報を出力するクロック
遅延情報検出回路34、クロック遅延情報検出回路34
から出力された遅延情報に基づいて選択されたクロック
CLK−FをF/F群31に供給するクロック選択回路
35、F/F群33にクロックCLK−Hを供給するク
ロック分配回路36、クロックCLK−Hと基準クロッ
クCLKとの位相差から最適な遅延情報を出力するクロ
ック遅延情報検出回路37、及び、クロック遅延情報検
出回路37からの遅延情報に基づいて選択したクロック
CLK−GをF/F群32に供給するクロック選択回路
38を備えている。
【0028】クロック選択回路35、クロック選択回路
38及びクロック分配回路36は、それぞれに接続され
ている全てのF/Fに対して、基準クロックCLKのフ
ァンアウトを確保しつつ、遅延時間を略一致させたクロ
ックCLK−F、クロックCLK−G及びクロックCL
K−Hを供給する。
【0029】このため、先ず、入力データA〜Cのそれ
ぞれをクロックCLK−Fの立ち上がりエッジタイミン
グでF/F群31に含まれるF/F−A,F/F−B,
F/F−Cのそれぞれに格納する。次に、F/F−A及
びF/F−Cの出力A′及びC′を処理A部で処理する
とともに、同様にF/F−B及びF/F−Cの出力B′
及びC′を処理B部で処理し、それぞれの処理結果をク
ロックCLK−Hの立ち上がりエッジタイミングでF/
F群33に含まれるF/F−D及びF/F−Eに格納す
る。さらに、F/F−Dの出力AC′及びF/F−Eの
出力BC′を処理C部で処理し、この処理結果ABC′
をクロックCLK−Gの立ち上がりエッジタイミングで
F/F群32に含まれるF/F−Fに格納した後、出力
データOUTとして出力する。
【0030】図9は、図8に示す回路における各部の信
号波形を示すタイミングチャートである。基準クロック
CLKに対するクロック分配回路36の出力CLK−H
の遅延時間T7、基準クロックCLKに対するクロック
選択回路35の出力CLK−Fの遅延時間T6、及び、
基準クロックCLKに対するクロック選択回路38の出
力クロックCLK−Gの遅延時間T8の関係は、T6>
T7>T8となっている。
【0031】これによって、前段のLSIからの入力に
対して予め十分なセットアップ時間を確保することがで
きるとともに、後段のLSIに対して十分なタイミング
マージンを確保することができる。
【0032】また、図5に示した従来の半導体集積回路
のタイミングチャートにおいて、F/F−A〜F/F−
Cに入力されるクロックCLK−Cと入力データA〜C
とのタイミング、及び、F/F−Fに入力されるクロッ
クCLK−Cと出力データOUTとのタイミングを比較
すれば明らかなように、遅延時間T3は図9に示す遅延
時間T6よりも短いため、従来の半導体集積回路では入
力データA〜Cの基準クロックCLKに対するセットア
ップ時間が上記第3の実施形態に係る半導体集積回路3
0に比較して短かくなる。また、遅延時間T3が図9に
示す遅延時間T8よりも長いため、従来の半導体集積回
路では出力データOUTの基準クロックに対する遅延時
間が上記第3の実施形態に係る半導体集積回路30に比
較して長くなる。
【0033】図10は、この発明の第4の実施形態に係
る半導体集積回路として、入力ポート直後のF/F、及
び、出力ポート直前のF/Fに供給するクロックを選択
する手段としてレジスタを用いた場合の回路構成を示す
図である。この実施形態に係る半導体集積回路40は、
入力ピンの直後のデータを送受信するF/F群41、出
力ピンの直前のデータを送受信するF/F群42、それ
以外のF/F群43、図示しないCPUから設定された
設定値を出力するクロック遅延設定用レジスタ44、ク
ロック遅延設定用レジスタ44から出力された設定値に
よって選択されたクロックCLK−IをF/F群41に
供給するクロック選択回路45、同じくCPUから設定
された設定値を出力するクロック遅延設定用レジスタ4
7、クロック遅延設定用レジスタ47から出力された設
定値によって選択されたクロックCLK−JをF/F群
42に供給するクロック選択回路48、及び、F/F群
43にクロックCLK−Kを供給するクロック分配回路
46を備えている。
【0034】クロック選択回路45、クロック選択回路
48及びクロック分配回路46は、それぞれに接続され
ている全てのF/Fに対して、基準クロックCLKのフ
ァンアウトを確保しつつ、遅延時間を略一致させたクロ
ックCLK−I、クロックCLK−J及びクロックCL
K−Kを供給する。
【0035】このため、先ず、入力データA〜Cのそれ
ぞれをクロックCLK−Iの立ち上がりエッジタイミン
グでF/F群41に含まれるF/F−A,F/F−B,
F/F−Cのそれぞれに格納する。次に、F/F−A及
びF/F−Cの出力A′及びC′を処理A部で処理する
とともに、同様にF/F−B及びF/F−Cの出力B′
及びC′を処理B部で処理し、それぞれの処理結果をク
ロックCLK−Kの立ち上がりエッジタイミングでF/
F群43に含まれるF/F−D及びF/F−Eに格納す
る。さらに、F/F−Dの出力AC′及びF/F−Eの
出力BC′を処理C部で処理し、この処理結果ABC′
をクロックCLK−Jの立ち上がりエッジタイミングで
F/F群42に含まれるF/F−Fに格納した後、出力
データOUTとして出力する。
【0036】図11は、上記半導体集積回路に備えられ
るクロック選択回路の構成を示す回路図である。また、
図12は、上記クロック選択回路における信号波形のタ
イミングチャートである。クロック選択回路45は、6
段の遅延素子45a〜45f及びセレクタ回路45gに
よって構成されている。基準クロックCLKは、遅延素
子45a〜45fのそれぞれによってクロックCLK−
0〜CLK−5に遅延されてセレクタ回路45gに入力
される。セレクタ回路45gには、クロック遅延設定用
レジスタ44から設定値CLK−SELが入力される。
この設定値CLK−SELは、上述のように、図示しな
いCPUから予め設定された値である。セレクタ回路4
5gは、入力された設定値CLK−SELの内容に応じ
てクロックCLK−0〜CLK−5の何れかを選択して
F/F群41に供給する。例えば、設定値CLK−SE
Lの内容が“0”である場合には最も遅延量の少ないク
ロックCLK−0をF/F群41に供給し、設定値CL
K−SELの内容が“2”である場合にはクロックCL
K−2をF/F群41に供給し、設定値CLK−SEL
の内容が“5”である場合には最も遅延量の多いクロッ
クCLK−5をF/F群41に供給する。
【0037】この構成により、CPUから設定された設
定値によってクロック位相を任意に変更することがで
き、入力データ及び出力データに十分なタイミングマー
ジンを確保することができるとともに、タイミング設計
をより容易にすることができる。
【0038】なお、上記の第4の実施形態に係る半導体
集積回路40は、第3の実施形態に係る半導体集積回路
30におけるクロック遅延情報検出回路34,37に代
えてクロック遅延設定用レジスタ44,47を用いたも
のであるが、第1の実施形態に係る半導体集積回路10
におけるクロック遅延情報検出回路6又は第2の実施形
態に係る半導体集積回路20におけるクロック遅延情報
検出回路23に代えてクロック遅延設定用レジスタを用
いた場合にも、入力データ又は出力データに十分なタイ
ミングマージンを確保することができる。
【0039】
【発明の効果】この発明によれば、以下の効果を奏する
ことができる。
【0040】(1)前段のLSIから入力されたクロッ
クと基準クロックとの遅延量に応じて、基準クロックを
互いに異なる遅延量で遅延させた複数のクロックのうち
で最適なクロックを入力ピン直後のデータ送受信を行う
フリップフロップ群に供給することにより、入力ピン直
後のフリップフロップに入力されるクロックの位相を前
段のLSIのクロックの基準クロックに対する遅延量に
応じて自動的に変更することができ、基準クロックに対
するLSI入力データのタイミングマージンを十分に確
保できる。これによって、1本の専用ピンのみを用いて
LSIのAC特性を自動的に変更できるようにし、単体
のLSI内部のみならず、複数のLSI間におけるデー
タ転送時のタイミングエラーの発生を防ぐための入出力
信号のタイミング設計をより少ない制約下で容易に行う
ことができる。
【0041】(2)出力ピン直前のフリップフロップの
前段のフリップフロップに入力されたクロックと基準ク
ロックとの遅延量に応じて、基準クロックを互いに異な
る遅延量で遅延させた複数のクロックのうちで最適なク
ロックを出力ピン直前のデータ送受信を行うフリップフ
ロップ群に供給することにより、出力ピン直前のフリッ
プフロップに入力されるクロックの位相をそのフリップ
フロップの前段のフリップフロップに入力されたクロッ
クの基準クロックに対する遅延量に応じて自動的に変更
することができ、基準クロックに対するLSI出力デー
タのタイミングマージンを十分に確保できる。これによ
って、1本の専用ピンのみを用いてLSIのAC特性を
自動的に変更できるようにし、単体のLSI内部のみな
らず、複数のLSI間におけるデータ転送時のタイミン
グエラーの発生を防ぐための入出力信号のタイミング設
計をより少ない制約下で容易に行うことができる。
【0042】(3)前段のLSIから入力されたクロッ
クと基準クロックとの遅延量、及び、出力ピン直前のフ
リップフロップの前段のフリップフロップに入力された
クロックと基準クロックとの遅延量に応じて、基準クロ
ックを互いに異なる遅延量で遅延させた複数のクロック
のうちで最適なクロックを、入力ピン直後のデータ送受
信を行うフリップフロップ群、及び、出力ピン直前のデ
ータ送受信を行うフリップフロップ群に供給することに
より、入力ピン直後のフリップフロップに入力されるク
ロックの位相を前段のLSIのクロックの基準クロック
に対する遅延量に応じて自動的に変更することができる
とともに、出力ピン直前のフリップフロップに入力され
るクロックの位相をそのフリップフロップの前段のフリ
ップフロップに入力されたクロックの基準クロックに対
する遅延量に応じて自動的に変更することができ、基準
クロックに対するLSI入出力データのタイミングマー
ジンを十分に確保できる。これによって、1本の専用ピ
ンのみを用いてLSIのAC特性を自動的に変更できる
ようにし、単体のLSI内部のみならず、複数のLSI
間におけるデータ転送時のタイミングエラーの発生を防
ぐための入出力信号のタイミング設計をより少ない制約
下で容易に行うことができる。
【0043】(4)任意に設定された遅延量に応じて、
基準クロックを互いに異なる遅延量で遅延させた複数の
クロックのうちで最適なクロックを、入力ピン直後のデ
ータ送受信を行うフリップフロップ群、又は、出力ピン
直前のデータ送受信を行うフリップフロップ群に供給す
ることにより、入力ピン直後のフリップフロップに入力
されるクロックの位相、又は、出力ピン直前のフリップ
フロップに入力されるクロックの位相を、任意に設定さ
れた遅延量に応じて変更することができ、基準クロック
に対するLSI入出力データのタイミングマージンを十
分に確保するためのタイミング設計を容易にできる。
【図面の簡単な説明】
【図1】この発明の第1の実施形態に係る半導体集積回
路として、入力ピン直後のF/Fに遅延時間を変化させ
て基準クロックを入力する場合の回路構成を示す図であ
る。
【図2】上記半導体集積回路における各部の信号波形を
示すタイミングチャートである。
【図3】上記半導体集積回路におけるクロック遅延情報
検出回路の構成を示すブロック図である。
【図4】従来の半導体集積回路の構成を示す図である。
【図5】従来の半導体集積回路における各部の信号波形
を示すタイミングチャートである。
【図6】この発明の第2の実施形態に係る半導体集積回
路として、出力ポート直前のF/Fに遅延時間を変化さ
せて基準クロックを入力する場合の回路構成を示す図で
ある。
【図7】上記半導体集積回路における各部の信号波形を
示すタイミングチャートである。
【図8】この発明の第3の実施形態に係る半導体集積回
路として、入力ポート直後のF/F、及び、出力ポート
直前のF/Fに対して、遅延時間を変化させて基準クロ
ックを入力する場合の回路構成を示す図である。
【図9】上記半導体集積回路における各部の信号波形を
示すタイミングチャートである。
【図10】この発明の第4の実施形態に係る半導体集積
回路として、入力ポート直後のF/F、及び、出力ポー
ト直前のF/Fに供給するクロックを選択する手段とし
てレジスタを用いた場合の回路構成を示す図である。
【図11】上記半導体集積回路に備えられるクロック選
択回路の構成を示す回路図である。
【図12】上記クロック選択回路における信号波形のタ
イミングチャートである。
【符号の説明】
10,20,30,40−半導体集積回路 1−F/F群(第1F/F群) 2−F/F群(第2F/F群) 21−F/F群(第3F/F群) 22−F/F群(第4F/F群) 31,41−F/F群(第5F/F群) 32,42−F/F群(第6F/F群) 33,43−F/F群(第7F/F群) 5,24,35,38,45,48−クロック選択回路 6,23,34,37,44,47−クロック遅延情報
検出回路

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】入力ピン直後のデータ送受信を行う第1フ
    リップフロップ群と、それ以外の回路内の第2フリップ
    フロップ群と、前段のLSIから入力されたクロックと
    基準クロックとの遅延量を検出して最適な遅延情報を出
    力するクロック遅延情報検出回路と、基準クロックを互
    いに異なる遅延量で遅延させた複数のクロックを生成す
    るとともにクロック遅延情報検出回路の結果に基づいて
    最適なクロックを選択して第1フリップフロップ群に供
    給するクロック選択手段と、を設けたことを特徴とする
    半導体集積回路。
  2. 【請求項2】出力ピン直前のデータ送受信を行う第3フ
    リップフロップ群と、それ以外の回路内の第4フリップ
    フロップ群と、第4フリップフロップ群の最終段のフリ
    ップフロップに入力されたクロックと基準クロックとの
    遅延量を検出して最適な遅延情報を出力するクロック遅
    延情報検出回路と、基準クロックを互いに異なる遅延量
    で遅延させた複数のクロックを生成するとともにクロッ
    ク遅延情報検出回路の検出結果に基づいて最適なクロッ
    クを選択して第3フリップフロップ群に供給するクロッ
    ク選択装置と、を設けたことを特徴とする半導体集積回
    路。
  3. 【請求項3】入力ピン直後のデータ受信を行う第5フリ
    ップフロップ群と、出力ピン直前のデータ送受信を行う
    第6フリップフロップ群と、それ以外の回路内の第7フ
    リップフロップ群と、前段のLSIから入力されたクロ
    ック及び第7フリップフロップ群の最終段のフリップフ
    ロップに入力されたクロックのそれぞれと基準クロック
    との遅延量を検出して最適な遅延情報を出力するクロッ
    ク遅延情報検出回路と、基準クロックを互いに異なる遅
    延量で遅延させた複数のクロックを生成するとともにク
    ロック遅延情報検出回路の結果に基づいて最適なクロッ
    クを選択して第5フリップフロップ群及び第6フリップ
    フロップ群に供給するクロック選択手段と、を設けたこ
    とを特徴とする半導体集積回路。
  4. 【請求項4】前記クロック遅延情報検出回路に代えて、
    遅延情報として任意に設定された設定値をクロック選択
    回路に出力するレジスタ回路を設けたことを特徴とする
    請求項1乃至3のいずれかに記載の半導体集積回路。
JP2002017400A 2002-01-25 2002-01-25 半導体集積回路 Pending JP2003216271A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002017400A JP2003216271A (ja) 2002-01-25 2002-01-25 半導体集積回路

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002017400A JP2003216271A (ja) 2002-01-25 2002-01-25 半導体集積回路

Publications (1)

Publication Number Publication Date
JP2003216271A true JP2003216271A (ja) 2003-07-31

Family

ID=27653107

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002017400A Pending JP2003216271A (ja) 2002-01-25 2002-01-25 半導体集積回路

Country Status (1)

Country Link
JP (1) JP2003216271A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005013107A1 (ja) * 2003-07-31 2005-02-10 Semiconductor Energy Laboratory Co., Ltd. 半導体装置及び半導体装置の駆動方法
US7098696B2 (en) 2003-07-31 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Logic circuit and semiconductor integrated circuit
JP2011034470A (ja) * 2009-08-05 2011-02-17 Renesas Electronics Corp 半導体集積回路及びそのクロック制御方法
US8887110B1 (en) * 2007-08-22 2014-11-11 Cadence Design Systems, Inc. Methods for designing intergrated circuits with automatically synthesized clock distribution networks
KR20200098635A (ko) * 2017-12-18 2020-08-20 자일링크스 인코포레이티드 프로그래밍가능 제어 회로를 사용한 클록 신호들의 선택적 제공

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005013107A1 (ja) * 2003-07-31 2005-02-10 Semiconductor Energy Laboratory Co., Ltd. 半導体装置及び半導体装置の駆動方法
US7098696B2 (en) 2003-07-31 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Logic circuit and semiconductor integrated circuit
US7446587B2 (en) 2003-07-31 2008-11-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and driving method thereof
US7627773B2 (en) 2003-07-31 2009-12-01 Semiconductor Energy Laboratory Co., Ltd. Logic circuit and semiconductor integrated circuit
US8887110B1 (en) * 2007-08-22 2014-11-11 Cadence Design Systems, Inc. Methods for designing intergrated circuits with automatically synthesized clock distribution networks
JP2011034470A (ja) * 2009-08-05 2011-02-17 Renesas Electronics Corp 半導体集積回路及びそのクロック制御方法
KR20200098635A (ko) * 2017-12-18 2020-08-20 자일링크스 인코포레이티드 프로그래밍가능 제어 회로를 사용한 클록 신호들의 선택적 제공
JP2021508131A (ja) * 2017-12-18 2021-02-25 ザイリンクス インコーポレイテッドXilinx Incorporated プログラマブル制御回路を用いた選択的なクロック信号の提供
JP7297784B2 (ja) 2017-12-18 2023-06-26 ザイリンクス インコーポレイテッド プログラマブル制御回路を用いた選択的なクロック信号の提供
KR102571068B1 (ko) * 2017-12-18 2023-08-24 자일링크스 인코포레이티드 프로그래밍가능 제어 회로를 사용한 클록 신호들의 선택적 제공

Similar Documents

Publication Publication Date Title
KR101089153B1 (ko) 상이한 클록 도메인 간에서의 데이터 신호 전송 방법 및 집적 회로
US7496779B2 (en) Dynamically synchronizing a processor clock with the leading edge of a bus clock
JP2005513626A (ja) 混在する領域クロック用のクロックツリーシンセシス
US20050144497A1 (en) System and method for reducing clock skew
US20200177170A1 (en) Clock pulse generator
US7275171B2 (en) Method and apparatus for programmable sampling clock edge selection
US8536918B2 (en) Flip-flop circuit, scan test circuit, and method of controlling scan test circuit
US20090271747A1 (en) Logic circuit designing device, logic circuit designing method and logic circuit designing program for asynchronous logic circuit
JP2003216271A (ja) 半導体集積回路
US6640277B1 (en) Input staging logic for latching source synchronous data
US7882385B2 (en) Reducing inefficiencies of multi-clock-domain interfaces using a modified latch bank
US6810486B2 (en) Method and apparatus for de-skewing a clock using a first and second phase locked loop and a clock tree
US7231620B2 (en) Apparatus, generator, and method for clock tree synthesis
JPH10133768A (ja) クロックシステム、半導体装置、半導体装置のテスト方法、及びcad装置
JP3869406B2 (ja) クロック位相差検出回路、クロック分配回路、及び大規模集積回路
Sawyer Source-synchronous serialization and deserialization (up to 1050 Mb/s)
CN114519318B (zh) Noc设计方法、装置、相关器件和设备
JP3866562B2 (ja) 半導体集積回路の設計方法
JPH113135A (ja) クロック遅延量制御方法
KR101006843B1 (ko) 출력신호를 안정적으로 생성하는 동기화 회로
JP2004094776A (ja) 半導体集積回路
JPH11125660A (ja) 半導体試験装置用タイミング発生器
JP2000353939A (ja) クロック信号同期式フリップフロップ回路
JP2001228213A (ja) 半導体集積回路装置及びクロックスキューの検証方法
JP2004037264A (ja) スキャン機能付きフリップフロップ回路およびスキャンテスト回路