JP2003209169A - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device

Info

Publication number
JP2003209169A
JP2003209169A JP2002008087A JP2002008087A JP2003209169A JP 2003209169 A JP2003209169 A JP 2003209169A JP 2002008087 A JP2002008087 A JP 2002008087A JP 2002008087 A JP2002008087 A JP 2002008087A JP 2003209169 A JP2003209169 A JP 2003209169A
Authority
JP
Japan
Prior art keywords
film
porous
precursor
wiring
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002008087A
Other languages
Japanese (ja)
Inventor
Tetsuo Satake
哲郎 佐竹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2002008087A priority Critical patent/JP2003209169A/en
Publication of JP2003209169A publication Critical patent/JP2003209169A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Abstract

<P>PROBLEM TO BE SOLVED: To solve the problem of difficulties in the practical use of a porous low dielectric constant film for the multilayer wiring of a semiconductor device since the physical strength of a porous film is low and it tends to change chemically in a process. <P>SOLUTION: (a) After a silicon nitride film 102 is formed on a semiconductor substrate 101, an insulation film 103 formed of a silicon oxide film containing fluorine is formed by a CVD method. (b) A wiring groove 104 is formed in the insulation film 103. (c-1) Hydrogen fluoride is produced by exposing the insulation film 103 to water vapor 105 and reacting fluorine existing excessively in the insulation film 103 and hydrogen of the water vapor 105. (c-2) The hydrogen fluoride etches the insulation film 103 itself and the insulation film 103 becomes a porous film. (e) A metallic wiring layer 107a is formed by burying metal in the groove. <P>COPYRIGHT: (C)2003,JPO

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は配線間層、配線層を
順次形成した多層配線構造の半導体装置の製造方法に関
するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device having a multi-layer wiring structure in which an interwiring layer and a wiring layer are sequentially formed.

【0002】[0002]

【従来の技術】近年、半導体装置の高性能化、記憶容量
の増大により、配線の高密度化・多層化が著しい。
2. Description of the Related Art In recent years, due to the higher performance of semiconductor devices and the increase in storage capacity, the density and the number of layers of wiring have been remarkably increased.

【0003】配線の高密度化、多層化にともない、配線
同士の相互作用により電気信号の遅延が生じ、半導体装
置の動作速度が向上しない点や消費電力を低減できない
などの問題が指摘されている。
It has been pointed out that as the wiring density and the number of wiring layers increase, electric signals are delayed due to the interaction between the wirings, the operating speed of the semiconductor device cannot be improved, and the power consumption cannot be reduced. .

【0004】これを解決するために配線抵抗および配線
容量を低減することが検討され、半導体装置の材料、製
造方法について新しい技術が開発された。まず、従来の
配線材料であるAl(電気抵抗3μΩ)に比較して抵抗
の低いCu(電気抵抗1.8μΩ)を配線材料に用いる
技術が実用化された。さらに配線容量低減のために配線
間の層間絶縁膜に誘電率の低い材料が検討され、従来の
SiO2膜(誘電率4.0〜4.5)に比較して誘電率
の低いフッ素含有SiO2膜(SiOF膜 誘電率3.
2〜3.7)が実用化された。
In order to solve this, reduction of wiring resistance and wiring capacitance has been studied, and a new technique has been developed for the material and manufacturing method of the semiconductor device. First, a technique of using Cu (electrical resistance 1.8 μΩ), which has a lower resistance than Al (electrical resistance 3 μΩ), which is a conventional wiring material, as a wiring material has been put into practical use. Further, in order to reduce the wiring capacitance, a material having a low dielectric constant is studied for the interlayer insulating film between the wirings, and fluorine-containing SiO having a lower dielectric constant than the conventional SiO 2 film (dielectric constant 4.0 to 4.5). 2 film (SiOF film Dielectric constant 3.
2 to 3.7) have been put to practical use.

【0005】しかしさらなる高密度化、多層化が進展す
るに伴って層間絶縁膜の誘電率を低減させることが強く
望まれている。このような配線容量低減のために、配線
間の絶縁膜に微少な空孔を含有する多孔質膜(誘電率:
3.0以下)を用いることが提案されている。
However, it is strongly desired to reduce the dielectric constant of the interlayer insulating film as the density and the number of layers are further increased. In order to reduce such wiring capacity, a porous film (dielectric constant:
3.0 or less) is proposed.

【0006】多孔質膜を用いて半導体装置を製造する従
来の方法を図3(a)〜(d)に示す。図3(a)に示す
ように、半導体基板301の上にSiNなどからなる層
間絶縁膜302を介して多孔質膜303を形成し、さら
にハードマスクとなる層間絶縁膜304を形成する。層
間絶縁膜304はSiC、SiN、SiON等の膜であ
る。SiC、SiN、SiONなどの層間絶縁膜はリソ
グラフィー工程の反射防止膜、エッチング工程のハード
マスク、化学的機械的研磨(Chemical Mechanical Poli
shing 以下、CMPと称す)工程のCMPストッパとし
て用いられる。
A conventional method of manufacturing a semiconductor device using a porous film is shown in FIGS. As shown in FIG. 3A, a porous film 303 is formed on a semiconductor substrate 301 via an interlayer insulating film 302 made of SiN or the like, and an interlayer insulating film 304 serving as a hard mask is further formed. The interlayer insulating film 304 is a film of SiC, SiN, SiON, or the like. Interlayer insulating films such as SiC, SiN, and SiON are anti-reflection films in lithography processes, hard masks in etching processes, and chemical mechanical polishing (Chemical Mechanical Polish).
Hereinafter, it is used as a CMP stopper in the shing process).

【0007】次に図3(b)に示すように、リソグラフィ
ー工程とエッチング工程により多孔質膜303に配線溝
305を形成する。さらに図3(c)に示すように、配線
溝305にCu等の配線金属306を埋め込む。
Next, as shown in FIG. 3B, a wiring groove 305 is formed in the porous film 303 by a lithography process and an etching process. Further, as shown in FIG. 3C, a wiring metal 306 such as Cu is embedded in the wiring groove 305.

【0008】最後に図3(d)に示すように余分な配線金
属306をCMP工程で除去し金属配線306aを形成
する。
Finally, as shown in FIG. 3D, excess wiring metal 306 is removed by a CMP process to form a metal wiring 306a.

【0009】[0009]

【発明が解決しようとする課題】従来技術を用いて多孔
質膜303をCuの金属配線306と組み合わせた配線
を作製すれば配線遅延の抑制が非常に期待される。
If a wiring in which the porous film 303 and the Cu metal wiring 306 are combined is manufactured by using the conventional technique, the suppression of the wiring delay is very expected.

【0010】しかし、以下の理由から多孔質膜303と
Cuの金属配線306を組み合わせた半導体装置を、ば
らつきが無く、容易に、従来の材料、工法等の技術で作
製することは非常に困難である。
However, for the following reasons, it is very difficult to easily manufacture a semiconductor device in which the porous film 303 and the Cu metal wiring 306 are combined with each other by using conventional materials and techniques such as a conventional method. is there.

【0011】第1に、均一な多孔質膜303を形成する
ことが困難なことである。多孔質膜303は後のプロセ
スで揮発蒸散させる粒子状の材料を含有する多孔質膜の
前駆体で膜を形成した後、前記粒子を揮発蒸散させて膜
中に空孔を形成する。従来の方法では膜の上面からのみ
粒子を蒸散させるため、膜の厚さ方向で空孔の分布が不
均一となりやすい。
First, it is difficult to form a uniform porous film 303. The porous film 303 is formed of a precursor of a porous film containing a particulate material that is volatilized and evaporated in a later process, and then the particles are volatilized and evaporated to form pores in the film. In the conventional method, since the particles are evaporated only from the upper surface of the film, the distribution of pores in the film thickness direction tends to be non-uniform.

【0012】第2に、多孔質膜は化学組成的にも弱くダ
メージを受やすく、誘電率が変動しやすいことである。
配線溝305を形成するエッチング工程およびエッチン
グ後のレジスト除去時の酸素プラズマアッシング工程
で、プロセスガスが空孔を通過することで容易に膜中を
拡散し、膜内部でエッチングや酸化が進行する。従来の
材料では表面に限定されていた膜の変質が膜全体で発生
し特性が大きく変動する。特に、多孔質膜303はその
空孔への水分の侵入を阻止するために空孔内部をCH3
などで疎水化しているが、これらの疎水基はプロセスガ
スと容易に反応してOH基などが形成される。OH基は
分極しているためそれ自身で誘電率を上げる要因になる
だけではなく、親水性になった空孔には水分が侵入るた
め、誘電率はさらに大きくなる。図3(e)に示すよう
にエッチングされた多孔質膜303の表面に変質層30
7が発生し誘電率が高くなる。この結果、低誘電率膜と
しての機能を果たさない。
Secondly, the porous film has a weak chemical composition and is easily damaged, and its dielectric constant is apt to change.
In the etching step of forming the wiring groove 305 and the oxygen plasma ashing step of removing the resist after etching, the process gas easily diffuses in the film by passing through the holes, and etching and oxidation proceed inside the film. With conventional materials, the deterioration of the film, which was limited to the surface, occurs throughout the film, and the characteristics change greatly. In particular, the porous film 303 has CH3 inside the pores in order to prevent water from entering the pores.
However, these hydrophobic groups easily react with the process gas to form OH groups and the like. Since the OH group is polarized, it not only becomes a factor of increasing the dielectric constant by itself, but also the dielectric constant is further increased because water enters the pores that have become hydrophilic. As shown in FIG. 3E, the altered layer 30 is formed on the surface of the etched porous film 303.
7 occurs and the dielectric constant becomes high. As a result, it does not function as a low dielectric constant film.

【0013】第3に、多孔質膜303の物理的強度が低
いことである。空孔を含むため物理的強度はバルクの材
料と比較すると大きく低下する。このような物理的強度
が低い多孔質膜303に配線金属306としてのCuな
どの埋め込み配線を形成することは非常に困難である。
すなわち、配線溝305を形成し配線金属306として
のCuを埋め込んだ図3(c)に示す状態から、図3(d)
に示すように配線溝305以外の余分なCu膜をCMP
で除去して配線を形成する工程で、除去すべきCu等の
金属や配線溝305あるいはハードマスク304の表面
と配線金属306との間に形成されているバリア膜(図
3において図示せず)のTaN等に比較して多孔質膜3
03は物理的強度が非常に低い。このため、多孔質膜3
03が存在する部分では研磨速度が非常に大きくなり、
最終表面の凹凸が非常に大きくなるといったCMP特有
の問題が発生する。最悪のケースではCMPの応力で大
きなダメージが発生し、削り取られて全体が消失する。
Third, the physical strength of the porous film 303 is low. Due to the inclusion of voids, the physical strength is greatly reduced compared to the bulk material. It is very difficult to form an embedded wiring such as Cu as the wiring metal 306 on the porous film 303 having such a low physical strength.
That is, from the state shown in FIG. 3C in which the wiring groove 305 is formed and Cu as the wiring metal 306 is buried, the state shown in FIG.
CMP of the excess Cu film other than the wiring groove 305 as shown in FIG.
A barrier film (not shown in FIG. 3) formed between the metal such as Cu to be removed and the wiring groove 305 or the surface of the hard mask 304 and the wiring metal 306 in the step of removing the wiring to form a wiring. Porous film 3 compared to TaN, etc.
03 has very low physical strength. Therefore, the porous membrane 3
In the part where 03 exists, the polishing rate becomes very high,
A problem peculiar to CMP such that the unevenness of the final surface becomes very large occurs. In the worst case, the CMP stress causes large damage, which is scraped off and the whole disappears.

【0014】多孔質膜の表面に関連する問題の解決策と
して、最近では多孔質膜の表面を別の絶縁膜でコーティ
ングして保護することが提唱されている。特開平10−
256363号公報では凝縮膜を設けることが、特開平
10−189733号公報では0.1μmのパラレンを
蒸着で形成することが提案されている。
As a solution to the problems associated with the surface of the porous film, it has recently been proposed to protect the surface of the porous film by coating it with another insulating film. JP-A-10-
Japanese Patent Laid-Open No. 10-189733 proposes forming a condensation film by vapor deposition, and Japanese Patent Laid-Open No. 10-189733 proposes forming paralene of 0.1 μm by vapor deposition.

【0015】しかしこれらの構造では、図3(e)に示
す変質層307と同様に誘電率の高い凝縮膜やパラレン
等の高誘電率層が配線間に形成されるため、誘電率の低
い多孔質膜を用いても全体の誘電率はわずかしか低下せ
ず、多孔質膜を用いた効果は無くなるため本質的な解決
策になっていない。
However, in these structures, a condensed film having a high dielectric constant or a high dielectric constant layer such as paralene is formed between wirings like the altered layer 307 shown in FIG. Even if a porous film is used, the overall dielectric constant is only slightly lowered, and the effect of using a porous film is lost, so it is not an essential solution.

【0016】このように、多孔質膜を用いた埋め込み配
線を作製することは非常に困難である。そこで本発明
は、誘電率を劣化させることや物理的、化学的ダメージ
を与えない多孔質膜の絶縁膜を有する半導体装置の製造
方法を提供することを目的とする。
As described above, it is very difficult to manufacture a buried wiring using a porous film. Therefore, it is an object of the present invention to provide a method for manufacturing a semiconductor device having a porous insulating film that does not deteriorate the dielectric constant or cause physical or chemical damage.

【0017】[0017]

【課題を解決するための手段】本発明の半導体装置の製
造方法は、基板上に多孔質絶縁膜の前駆体を形成する工
程と、前記多孔質絶縁膜の前駆体に溝または孔を形成す
る工程と、前記多孔質絶縁膜の前駆体を多孔質化する工
程と、前記溝または孔に金属を埋め込んで金属配線層を
形成する工程とを備えているので、多孔質化する工程で
多孔質膜の前駆体にエッチングで形成された溝,孔等の
側面から揮発蒸散させることができるため厚さ方向に空
孔が非常に均一に分布して形成される。
According to a method of manufacturing a semiconductor device of the present invention, a step of forming a precursor of a porous insulating film on a substrate and forming a groove or a hole in the precursor of the porous insulating film. Since it includes a step, a step of making the precursor of the porous insulating film porous, and a step of burying a metal in the groove or hole to form a metal wiring layer, it is porous in the step of making it porous. Since it is possible to volatilize and evaporate from the side surfaces of the grooves, holes, etc. formed in the precursor of the film by etching, the holes are formed with a very uniform distribution in the thickness direction.

【0018】また、配線間の間隔が狭い部分では表面か
らの距離が小さいため空孔を均一に多量に形成し、信号
遅延を抑制するために空孔を多量に形成し誘電率を低下
させることが可能となる。
In addition, since the distance from the surface is small in the portion where the distance between the wirings is small, a large number of holes are formed uniformly, and a large number of holes are formed in order to suppress the signal delay to lower the dielectric constant. Is possible.

【0019】配線間の間隔が広い部分では表面からの距
離が大きいため空孔の量を抑制することが可能となり、
空孔の密度が小さいため膜の強度を高くすることが可能
となる。誘電率は高くなるが配線間の間隔が広いため信
号の遅延は問題とならない。
Since the distance from the surface is large in the portion where the distance between the wirings is wide, it is possible to suppress the amount of holes.
Since the density of pores is low, the strength of the film can be increased. Although the dielectric constant is high, the signal delay is not a problem because the distance between the wirings is wide.

【0020】また、エッチング、アッシング工程後に多
孔質膜化するため空孔内部にはエッチング、アッシング
による化学的なダメージは発生しない。好ましくは、前
記多孔質絶縁膜の前駆体はフッ素を含む酸化シリコン膜
であり、前記多孔質膜の前駆体に水蒸気を供給し、さら
に水素プラズマにさらして、前記多孔質絶縁膜の前駆体
を多孔質化する。
Further, since a porous film is formed after the etching and ashing steps, chemical damage due to etching and ashing does not occur inside the pores. Preferably, the precursor of the porous insulating film is a silicon oxide film containing fluorine, and the precursor of the porous film is exposed to hydrogen plasma by supplying water vapor to the precursor of the porous film. It becomes porous.

【0021】本発明の半導体装置の製造方法は、基板上
に多孔質絶縁膜の前駆体を形成する工程と、前記多孔質
絶縁膜の前駆体に溝または孔を形成する工程と、前記溝
または孔の内部を含む前記多孔質膜の前駆体上に配線金
属を堆積する工程と、化学機械研磨法により溝または孔
でない部分の前記金属を除去し前記多孔質絶縁膜の前駆
体の最表面を露出させる工程と、前記多孔質絶縁膜の前
駆体を多孔質化する工程とを備えているので、CMP前
には空孔が導入されていないため、絶縁膜強度が従来の
膜と同程度に高く、CMP工程での剥離、破壊などの不
良が発生せず歩留まりが向上する。
A method of manufacturing a semiconductor device according to the present invention comprises the steps of forming a precursor of a porous insulating film on a substrate, forming grooves or holes in the precursor of the porous insulating film, A step of depositing a wiring metal on the precursor of the porous film including the inside of the pores, and removing the metal in a portion which is not a groove or a hole by a chemical mechanical polishing method to remove the outermost surface of the precursor of the porous insulating film. Since it has a step of exposing and a step of making the precursor of the porous insulating film porous, since no holes are introduced before CMP, the strength of the insulating film is similar to that of the conventional film. The cost is high, and the yield is improved without causing defects such as peeling and breakage in the CMP process.

【0022】好ましくは、前記多孔質膜の前駆体はSi
C膜であり、これをプラズマにさらすことにより前記多
孔質膜の前駆体を多孔質化する。
Preferably, the precursor of the porous film is Si
It is a C film, and the precursor of the porous film is made porous by exposing it to plasma.

【0023】[0023]

【発明の実施の形態】以下、本発明の半導体装置の製造
方法を図1と図2に示す具体的な実施例に基づいて説明
する。但し、本発明は図示の実施例に限定されるもので
はない。
BEST MODE FOR CARRYING OUT THE INVENTION A method of manufacturing a semiconductor device according to the present invention will be described below with reference to specific embodiments shown in FIGS. However, the present invention is not limited to the illustrated embodiment.

【0024】(実施例1)図1(a)に示すように、半
導体基板101上に窒化シリコン膜102を形成した
後、高密度プラズマCVD装置(図示省略)を用いたC
VD法によってフッ素を含む酸化シリコン膜からなる絶
縁膜103を形成する。この絶縁膜103が、後工程で
加工処理されて多孔質絶縁膜103aになるため、請求
項では多孔質絶縁膜の前駆体と称している。
(Example 1) As shown in FIG. 1A, after forming a silicon nitride film 102 on a semiconductor substrate 101, C using a high density plasma CVD apparatus (not shown) was used.
The insulating film 103 made of a silicon oxide film containing fluorine is formed by the VD method. This insulating film 103 is processed in a later step to become the porous insulating film 103a, and is therefore referred to as a precursor of the porous insulating film in the claims.

【0025】この絶縁膜103中のフッ素の含有量は、
11at%以上であることが望ましく、その上限は20
at%程度とする。次に図1(b)に示すように、公知の
リソグラフィーおよびエッチング技術を用いて所望の配
線パターンと同一パターンを有する配線溝104を形成
する。
The content of fluorine in this insulating film 103 is
11 at% or more is desirable, and the upper limit is 20
It is about at%. Next, as shown in FIG. 1B, a wiring groove 104 having the same pattern as a desired wiring pattern is formed by using known lithography and etching techniques.

【0026】次に図1(c−1)に示すように、水蒸気
105を供給して、上記絶縁膜103を水蒸気にさら
し、絶縁膜103の膜中に過剰に存在するフッ素と、水
蒸気の水素とを反応させて、フッ化水素を生成する。
Next, as shown in FIG. 1 (c-1), water vapor 105 is supplied to expose the insulating film 103 to the water vapor, and fluorine existing in excess in the film of the insulating film 103 and hydrogen in the water vapor are exposed. Are reacted with each other to generate hydrogen fluoride.

【0027】この水蒸気処理の処理条件としては、水蒸
気の雰囲気の圧力を例えば100Pa〜1.3kPa程
度とし、雰囲気の温度を例えば100℃〜300℃に設
定する。そして、水蒸気処理により発生したフッ化水素
は絶縁膜103自体をエッチングするため、この絶縁膜
103は非常に多孔質な膜103aになる。その後、真
空引きにより処理室内からフッ化水素や水蒸気を排除す
る。
As the processing conditions of this steam treatment, the pressure of the atmosphere of steam is set to, for example, about 100 Pa to 1.3 kPa, and the temperature of the atmosphere is set to, for example, 100 ° C. to 300 ° C. Then, since the hydrogen fluoride generated by the steam treatment etches the insulating film 103 itself, the insulating film 103 becomes a very porous film 103a. After that, hydrogen fluoride and water vapor are removed from the processing chamber by evacuation.

【0028】なお、上記水蒸気処理では、水蒸気の他
に、搬送ガスとして窒素ガス、または不活性ガスを用い
ることも可能である。次に図1(c−2)に示すよう
に、水素プラズマ106を発生させ、フッ素を含む酸化
シリコン膜からなる多孔質膜103aの表面を水素プラ
ズマにさらすアッシング処理を行って、この多孔質膜1
03aの結合終端をシリコン−水素結合とする。
In the steam treatment, nitrogen gas or an inert gas may be used as a carrier gas in addition to steam. Next, as shown in FIG. 1 (c-2), hydrogen plasma 106 is generated, and an ashing process of exposing the surface of the porous film 103a made of a silicon oxide film containing fluorine to the hydrogen plasma is performed. 1
The bond terminal of 03a is a silicon-hydrogen bond.

【0029】この水素プラズマ処理の条件としては、処
理雰囲気の圧力を例えば100Pa〜1.3kPa程度
とし、雰囲気の温度を例えば100℃〜300℃に設定
する。この水素プラズマ処理により大気開放時の吸湿に
よる比誘電率の上昇が抑制される。
As conditions for this hydrogen plasma treatment, the pressure of the treatment atmosphere is, for example, about 100 Pa to 1.3 kPa, and the temperature of the atmosphere is set to, for example, 100 ° C. to 300 ° C. This hydrogen plasma treatment suppresses an increase in the relative dielectric constant due to moisture absorption when opening to the atmosphere.

【0030】次に図1(d)に示すように、前記配線溝1
04にTaNなどのバリア膜(図示せず)、およびCu
などの配線金属107を埋め込む。次に図1(e)に示す
ように、引き続きCMPにより余分な前記バリア膜およ
び配線金属107を除去して配線の分離、平坦化を行う
ことで金属配線107aを形成する。
Next, as shown in FIG. 1D, the wiring groove 1 is formed.
A barrier film (not shown) such as TaN, and Cu
The wiring metal 107 such as is embedded. Next, as shown in FIG. 1E, the excess barrier film and wiring metal 107 are subsequently removed by CMP to separate and flatten the wiring to form a metal wiring 107a.

【0031】その後、前記手法を複数回繰り返すことで
上層の配線間層、配線層を順次形成し、多層配線構造を
形成する。なお、上記の(実施例1)では、配線金属を
入れて金属配線を形成する部分には配線溝104を形成
したが、溝でなくても孔であっても同様に構成できる。
After that, the above method is repeated a plurality of times to sequentially form the upper inter-wiring layer and the wiring layer to form a multi-layer wiring structure. In addition, in the above (Example 1), the wiring groove 104 is formed in the portion where the wiring metal is inserted to form the metal wiring, but the wiring groove 104 may be formed in the same manner even if it is not a groove.

【0032】このように、本発明の半導体装置の製造方
法によれば、絶縁膜に形成された溝、孔などの側面から
多孔質化反応を起こさせるため非常に効率がよく、特に
配線間隔の狭い部分では均一な多孔質化が可能となる。
As described above, according to the method for manufacturing a semiconductor device of the present invention, since the porosification reaction is caused from the side surface of the groove, hole, etc. formed in the insulating film, the efficiency is very high, and especially the wiring interval A uniform porosity is possible in a narrow portion.

【0033】また、配線間の広い部分では水蒸気との反
応時間の調整で多孔質化を抑制することが可能となり、
部分的に強度が高い絶縁膜を形成することが可能とな
る。そしてエッチング後に多孔質化するためエッチング
によって孔の内部はダメージを受けない。このため配線
遅延が改善される。
Further, in the wide area between the wirings, it becomes possible to suppress the formation of porosity by adjusting the reaction time with water vapor,
It is possible to form an insulating film having high strength locally. The inside of the holes is not damaged by the etching because it becomes porous after the etching. Therefore, the wiring delay is improved.

【0034】(実施例2)図2は(実施例2)を示し、
(実施例1)とは多孔質絶縁膜の前駆体を多孔質化する
工程が異なっている。
(Embodiment 2) FIG. 2 shows (Embodiment 2),
It differs from (Example 1) in the step of making the precursor of the porous insulating film porous.

【0035】図2(a)に示すように、半導体基板20
1上に窒化シリコン膜202を形成した後、高密度プラ
ズマCVD装置(図示省略)を用いたCVD法によっ
て、Si−C(−H)膜203を形成する。このSi−
C(−H)膜203が、後工程で加工処理されて多孔質
絶縁膜になるため、請求項では多孔質絶縁膜の前駆体と
称している。
As shown in FIG. 2A, the semiconductor substrate 20
After the silicon nitride film 202 is formed on the Si substrate 1, a Si—C (—H) film 203 is formed by a CVD method using a high density plasma CVD device (not shown). This Si-
Since the C (-H) film 203 is processed in a later step to be a porous insulating film, it is called a precursor of the porous insulating film in the claims.

【0036】このSi−C(−H)膜203は、反応ガ
スとしてTEOS(Tetra-Ethl-Ortho-Silicate)を用
い、シリコン基板201を100℃に保持しながら、周
波数が13.56MHzであるRF電圧を印加し、圧力
が1Torrの下で形成される。
This Si-C (-H) film 203 uses TEOS (Tetra-Ethl-Ortho-Silicate) as a reaction gas, and the frequency is 13.56 MHz while maintaining the silicon substrate 201 at 100 ° C. A voltage is applied and a pressure is formed under 1 Torr.

【0037】次に図2(b)に示すように、公知のリソグ
ラフィーおよびエッチング技術を用いて配線溝204を
形成する。次に図2(c)に示すように前記配線溝204
にTaNなどのバリア膜(図示せず)、およびCuなど
の配線金属205を埋め込む。
Next, as shown in FIG. 2B, a wiring groove 204 is formed by using known lithography and etching techniques. Next, as shown in FIG.
A barrier film (not shown) such as TaN, and a wiring metal 205 such as Cu are embedded in.

【0038】次に図2(d)に示すように、引き続きCM
Pにより、余分な前記バリア膜および配線金属205を
除去して配線の分離、平坦化を行うことで金属配線20
5aを形成する。
Next, as shown in FIG.
By using P, the excess barrier film and wiring metal 205 are removed to separate and flatten the wiring, so that the metal wiring 20
5a is formed.

【0039】次に図2(e−1)に示すように、Si−
C(−H)膜203に対してO(酸素)プラズマ206
にさらすアッシング処理を行う。これにより、Si−C
(−H)膜203に含まれるCおよびHが酸化され、膜
外に放出される。CおよびHが放出された部分には空隙
ができるとともに、Si−O結合が形成される。これに
より、Si−C(−H)膜203は図2(e−2)に示
す多孔性を有するSiO2膜203aとなる。
Next, as shown in FIG. 2 (e-1), Si-
O (oxygen) plasma 206 with respect to the C (-H) film 203
Ashing process. This allows Si-C
C and H contained in the (-H) film 203 are oxidized and released to the outside of the film. A void is formed in the portion where C and H are released, and a Si—O bond is formed. As a result, the Si—C (—H) film 203 becomes the porous SiO 2 film 203a shown in FIG. 2E-2.

【0040】その後、前記手法を複数回繰り返すことで
上層の配線間層、配線層を順次形成し、多層配線構造を
形成する。なお、この(実施例2)では図2(e−1)
では酸素プラズマ206にさらしてSi−C(−H)膜
203を多孔性を有するSiO2 膜203aに加工した
が、酸素を含むその他のプラズマにさらして多孔質Si
2膜203aに加工することもできる。
After that, the above method is repeated a plurality of times to sequentially form the upper inter-wiring layer and the wiring layer to form a multi-layer wiring structure. In addition, in this (Example 2), FIG.
Then, the Si—C (—H) film 203 was processed into the porous SiO 2 film 203a by being exposed to the oxygen plasma 206, but the porous Si was exposed to the other plasma containing oxygen.
It can also be processed into the O 2 film 203a.

【0041】本発明の半導体装置の製造方法によれば、
図2(d)のCMP工程では絶縁膜に空孔は存在しない
ため絶縁膜の強度が非常に高くCMP工程での欠陥発生
が非常に少ない。このため歩留まりが向上する。
According to the method of manufacturing a semiconductor device of the present invention,
In the CMP process of FIG. 2D, since there are no holes in the insulating film, the strength of the insulating film is very high and the number of defects generated in the CMP process is very small. Therefore, the yield is improved.

【0042】そして図2(b)でのエッチングの後、図
2(d)でのCMPの後に図2(e−1)において多孔
質化するため、エッチングによって空孔の内部はダメー
ジを受けない。このため配線遅延が改善される。
After the etching in FIG. 2 (b), after the CMP in FIG. 2 (d), it becomes porous in FIG. 2 (e-1), so that the inside of the void is not damaged by the etching. . Therefore, the wiring delay is improved.

【0043】上記の(実施例2)では、配線金属を入れ
て金属配線を形成する部分には配線溝204を形成した
が、溝でなくても孔であっても同様に構成できる。
In the above-described (Example 2), the wiring groove 204 was formed in the portion where the wiring metal was inserted to form the metal wiring. However, the wiring groove 204 may be formed in the same manner even if it is not a groove.

【0044】[0044]

【発明の効果】以上のように本発明の請求項1記載の半
導体装置の製造方法は、基板上に形成された多孔質絶縁
膜の前駆体に溝または孔を形成し、前記多孔質絶縁膜の
前駆体を多孔質化し、前記溝または孔に金属を埋め込ん
で金属配線層を形成するので、多孔質膜の前駆体にエッ
チングで形成された溝,孔等の側面から揮発蒸散させる
ことができ、厚さ方向に空孔が非常に均一に分布して形
成され、特に配線間隔の狭い部分では均一な多孔質化が
可能となる。
As described above, in the method for manufacturing a semiconductor device according to the first aspect of the present invention, a groove or a hole is formed in the precursor of the porous insulating film formed on the substrate, and the porous insulating film is formed. Since the precursor of is made porous and a metal wiring layer is formed by embedding a metal in the groove or hole, it is possible to volatilize and evaporate from the side surface of the groove, hole, etc. formed by etching the precursor of the porous film. The pores are formed so as to be distributed very uniformly in the thickness direction, and it is possible to make the pores uniform in the part where the wiring interval is narrow.

【0045】また、エッチング後に多孔質化するためエ
ッチングによって孔の内部はダメージを受けない。この
ため配線遅延が改善される。また、本発明の請求項2記
載の半導体装置の製造方法は、基板上に形成された多孔
質絶縁膜の前駆体に溝または孔を形成し、前記溝または
孔の内部を含む前記多孔質膜の前駆体上に配線金属を堆
積し、化学機械研磨法により溝または孔でない部分の前
記金属を除去し前記多孔質絶縁膜の前駆体の最表面を露
出させ、前記多孔質絶縁膜の前駆体を多孔質化するの
で、化学機械研磨法により前記金属を除去する工程では
絶縁膜に空孔は存在しないため絶縁膜の強度が非常に高
く、欠陥発生が非常に少ないため歩留まりが向上する。
そしてエッチング後、CMP後に多孔質化するためエッ
チングによって空孔の内部はダメージを受けない。この
ため配線遅延が改善される。
Further, the inside of the hole is not damaged by the etching because it becomes porous after the etching. Therefore, the wiring delay is improved. In the method for manufacturing a semiconductor device according to claim 2 of the present invention, the groove or the hole is formed in the precursor of the porous insulating film formed on the substrate, and the porous film including the inside of the groove or the hole is formed. A wiring metal is deposited on the precursor of the porous metal film, and the metal that is not a groove or a hole is removed by a chemical mechanical polishing method to expose the outermost surface of the precursor of the porous insulation film, and the precursor of the porous insulation film. In the step of removing the metal by the chemical mechanical polishing method, since there are no holes in the insulating film, the strength of the insulating film is very high, and the number of defects is extremely small, so that the yield is improved.
After etching and after CMP, it becomes porous, so that the inside of the holes is not damaged by etching. Therefore, the wiring delay is improved.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の半導体装置の製造方法の(実施例1)
の各工程を示す断面図
FIG. 1 shows a method for manufacturing a semiconductor device according to the present invention (Example 1).
Sectional view showing each step of

【図2】本発明の半導体装置の製造方法の(実施例2)
の各工程を示す断面図
FIG. 2 shows a method for manufacturing a semiconductor device according to the present invention (Example 2).
Sectional view showing each step of

【図3】従来例の半導体装置の製造方法の各工程を示す
断面図
FIG. 3 is a cross-sectional view showing each step of a conventional method for manufacturing a semiconductor device.

【符号の説明】[Explanation of symbols]

101 半導体基板 102 SiN 103 SiO2(前駆体) 104 配線溝 105 水蒸気 106 水素プラズマ 107 配線金属 107a 金属配線 203 Si−C(−H)膜(前駆体) 203a 多孔質SiO2膜 204 配線溝 205 配線金属 205a 金属配線 206 酸素プラズマ101 semiconductor substrate 102 SiN 103 SiO 2 (precursor) 104 wiring groove 105 steam 106 hydrogen plasma 107 wiring metal 107a metal wiring 203 Si—C (—H) film (precursor) 203a porous SiO 2 film 204 wiring groove 205 wiring Metal 205a Metal wiring 206 Oxygen plasma

───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5F033 HH11 HH32 JJ11 JJ32 KK11 KK32 MM01 MM12 MM13 NN06 NN07 QQ00 QQ09 QQ37 QQ48 RR01 RR04 RR06 RR11 RR29 SS04 SS15 XX01 XX03 XX24 XX27 5F058 BD04 BD06 BD10 BF02 BH02 BH16    ─────────────────────────────────────────────────── ─── Continued front page    F term (reference) 5F033 HH11 HH32 JJ11 JJ32 KK11                       KK32 MM01 MM12 MM13 NN06                       NN07 QQ00 QQ09 QQ37 QQ48                       RR01 RR04 RR06 RR11 RR29                       SS04 SS15 XX01 XX03 XX24                       XX27                 5F058 BD04 BD06 BD10 BF02 BH02                       BH16

Claims (7)

【特許請求の範囲】[Claims] 【請求項1】基板上に多孔質絶縁膜の前駆体を形成する
工程と、 前記多孔質絶縁膜の前駆体に溝または孔を形成する工程
と、 前記多孔質絶縁膜の前駆体を多孔質化する工程と、 前記溝または孔に金属を埋め込んで金属配線層を形成す
る工程とを備えている半導体装置の製造方法。
1. A step of forming a precursor of a porous insulating film on a substrate, a step of forming a groove or a hole in the precursor of the porous insulating film, and a step of forming the precursor of the porous insulating film into a porous material. And a step of burying a metal in the groove or the hole to form a metal wiring layer.
【請求項2】基板上に多孔質絶縁膜の前駆体を形成する
工程と、 前記多孔質絶縁膜の前駆体に溝または孔を形成する工程
と、 前記溝または孔の内部を含む前記多孔質膜の前駆体上に
配線金属を堆積する工程と、 化学機械研磨法により溝または孔でない部分の前記金属
を除去し前記多孔質絶縁膜の前駆体の最表面を露出させ
る工程と、 前記多孔質絶縁膜の前駆体を多孔質化する工程とを備え
ている半導体装置の製造方法。
2. A step of forming a precursor of a porous insulating film on a substrate, a step of forming a groove or a hole in the precursor of the porous insulating film, the porous material including the inside of the groove or the hole. Depositing a wiring metal on the film precursor, exposing the outermost surface of the precursor of the porous insulating film by removing the metal in a portion that is not a groove or a hole by a chemical mechanical polishing method, and the porous material And a step of making the precursor of the insulating film porous.
【請求項3】前記多孔質絶縁膜の前駆体はフッ素を含む
酸化シリコン膜であることを特徴とする請求項1に記載
の半導体装置の製造方法。
3. The method of manufacturing a semiconductor device according to claim 1, wherein the precursor of the porous insulating film is a silicon oxide film containing fluorine.
【請求項4】前記多孔質膜の前駆体を多孔質化する工程
は、 前記多孔質膜の前駆体に水蒸気を供給することにより行
うことを特徴とする請求項3に記載の半導体装置の製造
方法。
4. The method of manufacturing a semiconductor device according to claim 3, wherein the step of making the precursor of the porous film porous is performed by supplying water vapor to the precursor of the porous film. Method.
【請求項5】前記多孔質膜の前駆体を多孔質化する工程
は、 前記多孔質膜の前駆体に水蒸気を供給する工程と、 さらに水素プラズマにさらす工程とを備えていることを
特徴とする請求項3に記載の半導体装置の製造方法。
5. The step of making the precursor of the porous film porous includes a step of supplying water vapor to the precursor of the porous film, and a step of further exposing to hydrogen plasma. The method for manufacturing a semiconductor device according to claim 3, wherein
【請求項6】前記多孔質膜の前駆体はSiC膜であるこ
とを特徴とする請求項2に記載の半導体装置の製造方
法。
6. The method of manufacturing a semiconductor device according to claim 2, wherein the precursor of the porous film is a SiC film.
【請求項7】前記多孔質膜の前駆体を多孔質化する工程
は、 前記多孔質膜の前駆体を酸素を含むプラズマにさらすこ
とにより行うことを特徴とする請求項6に記載の半導体
装置の製造方法。
7. The semiconductor device according to claim 6, wherein the step of making the precursor of the porous film porous is performed by exposing the precursor of the porous film to plasma containing oxygen. Manufacturing method.
JP2002008087A 2002-01-17 2002-01-17 Manufacturing method of semiconductor device Pending JP2003209169A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002008087A JP2003209169A (en) 2002-01-17 2002-01-17 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002008087A JP2003209169A (en) 2002-01-17 2002-01-17 Manufacturing method of semiconductor device

Publications (1)

Publication Number Publication Date
JP2003209169A true JP2003209169A (en) 2003-07-25

Family

ID=27646439

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002008087A Pending JP2003209169A (en) 2002-01-17 2002-01-17 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP2003209169A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005333143A (en) * 2004-05-20 2005-12-02 Internatl Business Mach Corp <Ibm> Transistor equipped with spacer made of dielectric having reduced dielectric constant, and manufacturing method therefor
JP2008130991A (en) * 2006-11-24 2008-06-05 Fujitsu Ltd Semiconductor device, and manufacturing method thereof
JP2009170922A (en) * 2008-01-16 2009-07-30 Commiss Energ Atom Method for manufacturing permeable dielectric films
WO2010009295A2 (en) * 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
US8673679B2 (en) 2008-12-10 2014-03-18 Applied Materials Italia S.R.L. Enhanced vision system for screen printing pattern alignment

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005333143A (en) * 2004-05-20 2005-12-02 Internatl Business Mach Corp <Ibm> Transistor equipped with spacer made of dielectric having reduced dielectric constant, and manufacturing method therefor
JP2008130991A (en) * 2006-11-24 2008-06-05 Fujitsu Ltd Semiconductor device, and manufacturing method thereof
JP2009170922A (en) * 2008-01-16 2009-07-30 Commiss Energ Atom Method for manufacturing permeable dielectric films
WO2010009295A2 (en) * 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
WO2010009295A3 (en) * 2008-07-16 2010-03-11 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
US8183081B2 (en) 2008-07-16 2012-05-22 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
US8309446B2 (en) 2008-07-16 2012-11-13 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
US8673679B2 (en) 2008-12-10 2014-03-18 Applied Materials Italia S.R.L. Enhanced vision system for screen printing pattern alignment

Similar Documents

Publication Publication Date Title
JP4492947B2 (en) Manufacturing method of semiconductor device
US7319274B2 (en) Methods for selective integration of airgaps and devices made by such methods
JP4109531B2 (en) Semiconductor device and manufacturing method thereof
KR100768363B1 (en) Production method for semiconductor integrated circuit device and semiconductor integrated circuit device
JP2956571B2 (en) Semiconductor device
JP4256347B2 (en) Manufacturing method of semiconductor device
JP2008529296A (en) Manufacturing method of semiconductor device
JP2001223269A (en) Semiconductor device and manufacturing method therefor
US20030085473A1 (en) Semiconductor device and manufacturing method thereof for realizing high packaging density
JP2005116801A (en) Method for manufacturing semiconductor device
JP2008010534A (en) Semiconductor device and manufacturing method thereof
JP3887175B2 (en) Semiconductor device and manufacturing method thereof
US20080318412A1 (en) Method of manufacturing a semiconductor device
JP2004200203A (en) Semiconductor device and its manufacturing method
JP3781729B2 (en) Manufacturing method of semiconductor device
JP4523351B2 (en) Manufacturing method of semiconductor device
JP2003209169A (en) Manufacturing method of semiconductor device
US7338897B2 (en) Method of fabricating a semiconductor device having metal wiring
US7172965B2 (en) Method for manufacturing semiconductor device
JP2004119539A (en) Method for removing resist pattern
JP3104750B2 (en) Method for manufacturing semiconductor device
JP2005005697A (en) Manufacturing method of semiconductor device
JP2004363447A (en) Semiconductor device and method of manufacturing the same
JP3729731B2 (en) Manufacturing method of semiconductor device
JPH05129280A (en) Manufacture of semiconductor device