JP2003209093A - Substrate treatment method and substrate treatment device - Google Patents

Substrate treatment method and substrate treatment device

Info

Publication number
JP2003209093A
JP2003209093A JP2002006279A JP2002006279A JP2003209093A JP 2003209093 A JP2003209093 A JP 2003209093A JP 2002006279 A JP2002006279 A JP 2002006279A JP 2002006279 A JP2002006279 A JP 2002006279A JP 2003209093 A JP2003209093 A JP 2003209093A
Authority
JP
Japan
Prior art keywords
etching
substrate
resist pattern
pattern
recognizing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002006279A
Other languages
Japanese (ja)
Other versions
JP3599330B2 (en
Inventor
Masataka Tadokoro
真任 田所
Ryoichi Kamimura
良一 上村
Shuji Iwanaga
修児 岩永
Michio Tanaka
道夫 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2002006279A priority Critical patent/JP3599330B2/en
Publication of JP2003209093A publication Critical patent/JP2003209093A/en
Application granted granted Critical
Publication of JP3599330B2 publication Critical patent/JP3599330B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a substrate treatment method and a substrate treatment device in which the line width or the like of a resist pattern really formed in a photo-lithography process can be precisely measured and a desired circuit pattern can be finally formed after etching. <P>SOLUTION: After the line width or the like of the resist pattern is measured (step 11) by recognizing the pattern after developing (step 10), the measured result is fed forward to etching (step 13) to be performed later and by performing etching in optimal treatment conditions, the precise circuit pattern can be finally formed. Besides, by using an optical observation apparatus utilizing the interference and refraction of light, without shrinking the resist pattern like the conventional case of recognizing the resist pattern by using an electron microscope, the line width can be precisely measured in a nondestructive manner. <P>COPYRIGHT: (C)2003,JPO

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、半導体デバイスの
製造において、特にフォトリソグラフィ工程及びエッチ
ング工程において半導体基板上に所望の回路パターンを
形成する基板処理方法及び基板処理装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a substrate processing method and a substrate processing apparatus for forming a desired circuit pattern on a semiconductor substrate in manufacturing a semiconductor device, particularly in a photolithography process and an etching process.

【0002】[0002]

【従来の技術】半導体デバイスの製造におけるフォトリ
ソグラフィ工程においては、半導体ウェハ(以下、「ウ
ェハ」という。)の表面にレジスト膜を形成した後、こ
れを所定のパターンに露光し、さらに現像処理すること
により所望のレジストパターンを形成している。また、
このフォトリソグラフィ工程の後、エッチング処理を行
うことにより所望の回路パターンを形成している。そし
て、エッチング処理後は当該パターン上のレジストを剥
離することによって回路配線が形成される。
2. Description of the Related Art In a photolithography process in the manufacture of semiconductor devices, a resist film is formed on the surface of a semiconductor wafer (hereinafter referred to as "wafer"), which is then exposed to a predetermined pattern and further developed. As a result, a desired resist pattern is formed. Also,
After this photolithography process, an etching process is performed to form a desired circuit pattern. Then, after the etching process, the circuit wiring is formed by peeling off the resist on the pattern.

【0003】フォトリソグラフィ工程は、従来から、レ
ジスト塗布処理ユニットやウェハに現像液を供給して現
像処理する現像処理ユニット等を有する塗布現像処理装
置と、この装置に連続して一体に設けられた露光装置と
により行われている。この塗布現像処理装置は、ウェハ
に熱的な処理を行う加熱処理ユニットや冷却処理ユニッ
ト等をも一体的に具備している。一方、エッチング工程
は、塗布現像処理装置とは別のエッチング装置により行
われる。このエッチング装置と塗布現像処理装置とは一
体的ではなく、例えばフォトリソグラフィ工程を終えた
ウェハを作業員がエッチング装置まで運搬し、エッチン
グ処理を行うようにしている。
The photolithography process has conventionally been provided integrally with a coating and developing treatment apparatus having a resist coating treatment unit and a developing treatment unit for supplying a developing solution to a wafer to perform development treatment, and to this apparatus. The exposure device is used. The coating and developing treatment apparatus is also integrally provided with a heat treatment unit, a cooling treatment unit, and the like for thermally treating the wafer. On the other hand, the etching process is performed by an etching device different from the coating and developing treatment device. The etching apparatus and the coating / development processing apparatus are not integrated with each other. For example, a worker carries a wafer after the photolithography process to the etching apparatus and performs the etching processing.

【0004】ところで、近年、回路パターンの微細化は
よりいっそう進行しており、例えばパターンの線幅につ
いては、より精密な管理を行うことが要求されている。
従って塗布現像処理装置においては、所望のレジストパ
ターンの線幅を得るために、このパターン線幅の変動に
影響を及ぼすおそれのある上記現像処理ユニットや熱処
理ユニット等の処理条件を各ユニットごとに厳しく管理
している。
By the way, in recent years, the miniaturization of circuit patterns has been further advanced, and for example, the line width of patterns has been required to be managed more precisely.
Therefore, in the coating and developing treatment apparatus, in order to obtain a desired resist pattern line width, the processing conditions of the development processing unit and the heat treatment unit, which may affect the variation of the pattern line width, are strictly regulated for each unit. Manage.

【0005】[0005]

【発明が解決しようとする課題】しかしながら、このよ
うに厳しい管理下においても所望の線幅が得られない場
合があり、かかる場合には、当然その後のエッチング工
程においても所望の回路パターンを得ることはできな
い。
However, the desired line width may not be obtained even under such strict control, and in such a case, the desired circuit pattern may be obtained in the subsequent etching step. I can't.

【0006】また、このような問題を解決するために、
塗布現像処理装置でフォトリソグラフィ工程を終えたウ
ェハを例えばSEM(走査型電子顕微鏡)等の観察装置
でレジストパターンを観察して、この観察結果を塗布現
像処理装置側にフィードバックすることにより線幅等を
制御している。しかし、電子顕微鏡等の観察装置では電
子線を用いており、レジストパターンに電子線を照射す
ることによりパターンの収縮が起こるため、実際に形成
された線幅を測定することはできない。従って、この測
定結果をフィードバックしても所望の線幅を有するレジ
ストパターンの形成を行うことは容易でない。
Further, in order to solve such a problem,
The resist pattern of the wafer that has undergone the photolithography process in the coating / developing apparatus is observed by an observing apparatus such as an SEM (scanning electron microscope), and the observation result is fed back to the coating / developing apparatus to obtain the line width and the like. Are in control. However, in an observation apparatus such as an electron microscope, an electron beam is used, and the pattern width is contracted by irradiating the resist pattern with the electron beam. Therefore, the actually formed line width cannot be measured. Therefore, even if this measurement result is fed back, it is not easy to form a resist pattern having a desired line width.

【0007】以上のような事情に鑑み、本発明の目的
は、フォトリソグラフィ工程で実際に形成されたレジス
トパターンの線幅等を精密に測定でき、エッチング処理
後において最終的に所望の回路パターンを形成すること
ができる基板処理方法及び基板処理装置を提供すること
にある。
In view of the above circumstances, an object of the present invention is to accurately measure the line width and the like of a resist pattern actually formed in a photolithography process, and finally obtain a desired circuit pattern after etching. It is to provide a substrate processing method and a substrate processing apparatus that can be formed.

【0008】[0008]

【課題を解決するための手段】上記目的を達成するた
め、本発明の第1の観点に係る基板処理方法は、基板上
にレジストパターンを形成した後エッチング処理を行う
ことにより、所望の回路パターンを形成する基板処理方
法において、(a)前記レジストパターンを認識する工
程と、(b)前記認識結果に基づき前記エッチングの処
理条件を制御する工程とを具備する。
In order to achieve the above object, the substrate processing method according to the first aspect of the present invention comprises forming a resist pattern on a substrate and then performing an etching process to obtain a desired circuit pattern. The substrate processing method for forming a substrate includes the steps of (a) recognizing the resist pattern and (b) controlling the etching processing conditions based on the recognition result.

【0009】本発明では、レジストパターンを認識して
パターンの線幅等を測定する。そしてこの測定結果をそ
の後に行われるエッチング処理にフィードフォワード
し、最適な処理条件でエッチング処理を行うことによ
り、最終的に精密な回路パターンを形成することができ
る。この場合、エッチングの処理条件は、エッチング時
間、エッチングガス組成比及びエッチングパワーのうち
少なくとも1つを含む。特にエッチング時間に関して
は、当該時間が長いほど線幅を小さくすることができる
という明らかな相関関係があることがわかっている。従
って、エッチング時間を制御することにより容易に所望
の線幅を有する回路パターンを形成することができる。
また、本発明は、実際の製品製造段階及び検査用の基板
を用いて製品製造前における初期設定段階共に実行する
ことが可能である。
In the present invention, the resist pattern is recognized and the line width of the pattern is measured. Then, this measurement result is fed forward to the etching process to be performed thereafter, and the etching process is performed under the optimum processing condition, whereby a precise circuit pattern can be finally formed. In this case, the etching processing conditions include at least one of etching time, etching gas composition ratio, and etching power. In particular, regarding the etching time, it is known that there is a clear correlation that the line width can be reduced as the time is longer. Therefore, the circuit pattern having a desired line width can be easily formed by controlling the etching time.
Further, the present invention can be carried out both in the actual product manufacturing stage and in the initial setting stage before the product manufacturing by using the substrate for inspection.

【0010】また、前記工程(a)は、光学的観察装置
を用いることにより、電子顕微鏡を用いてレジストパタ
ーンの認識を行った場合のようにレジストパターンの収
縮を発生させることはなく、非破壊で線幅を測定するこ
とができる。これにより、実際のレジストパターンの線
幅を精密に測定できエッチング処理条件を的確に把握で
きるため、所望の回路パターンの形成に寄与する。
Further, the step (a) does not cause shrinkage of the resist pattern as in the case of recognizing the resist pattern using an electron microscope by using an optical observation device, and is nondestructive. The line width can be measured with. As a result, the line width of the actual resist pattern can be accurately measured and the etching processing conditions can be accurately grasped, which contributes to the formation of a desired circuit pattern.

【0011】本発明の一の形態によれば、前記工程
(a)は、(c)前記基板上の製品領域以外の領域に形
成されたグレーティングパターンを前記光学的観察装置
で認識する工程と、(d)前記グレーティングパターン
と前記製品領域に形成されたレジストパターンとをそれ
ぞれ電子顕微鏡で認識し、これらグレーティングパター
ンとレジストパターンとの相関を求める工程と、(e)
前記工程(c)と工程(d)で認識されたグレーティン
グパターン同士の相関を求める工程と、(f)前記工程
(d)及び(e)で求められた各相関に基づき前記レジ
ストパターンを認識する工程とを具備する。
According to one aspect of the present invention, the step (a) includes the step (c) of recognizing a grating pattern formed in a region other than the product region on the substrate by the optical observation device. (D) a step of recognizing the grating pattern and the resist pattern formed in the product area with an electron microscope, and obtaining a correlation between the grating pattern and the resist pattern, and (e)
A step of obtaining a correlation between the grating patterns recognized in the step (c) and the step (d), and (f) recognizing the resist pattern based on the respective correlations obtained in the steps (d) and (e). And a process.

【0012】本発明では、例えば、実際に形成されたレ
ジストパターンが複雑な形状である場合、光の干渉等が
起こらず上記光学的観察装置では線幅を測定できないの
で、この場合にはSEM等の電子顕微鏡を用いる。この
場合、例えば、先ず検査用の基板を用い、光学的観察装
置により基板の製品領域以外の領域に形成されたグレー
ティングパターンを認識する。これは、例えば露光処理
の際に、光学的干渉が起こる程度の大きさのパターンを
製品領域以外の領域に予め形成しておくことが好まし
い。次に、このグレーティングパターンと製品領域に形
成された実際のレジストパターンとを電子顕微鏡で認識
し、これらの相関関係を求める。そして、光学的観察装
置及び電子顕微鏡でそれぞれ認識されたグレーティング
パターン同士の相関関係を求めることによって、求めら
れた各相関関係に基づきレジストパターンを認識する。
In the present invention, for example, when the actually formed resist pattern has a complicated shape, light interference does not occur and the line width cannot be measured by the above optical observation apparatus. Electron microscope. In this case, for example, first, a substrate for inspection is used, and a grating pattern formed in a region other than the product region of the substrate is recognized by the optical observation device. For this, it is preferable to form a pattern having a size large enough to cause optical interference in a region other than the product region in advance, for example, during the exposure process. Next, the grating pattern and the actual resist pattern formed in the product area are recognized by an electron microscope, and the correlation between them is obtained. Then, by obtaining the correlation between the grating patterns respectively recognized by the optical observation device and the electron microscope, the resist pattern is recognized based on each obtained correlation.

【0013】このように、例えばグレーティングパター
ン同士の相関関係を複数の基板又は複数のチップに対し
て求め、これらのデータベースを構築し、このデータベ
ースを基に光学的観察装置のみを用いて、グレーティン
グパターンのみを認識することにより、非破壊的に実際
のレジストパターンの線幅を精密に測定することができ
る。なお、上記データベースの構築は例えば検査用の基
板を用いて行うことが好ましい。
Thus, for example, the correlation between grating patterns is obtained for a plurality of substrates or a plurality of chips, a database of these is constructed, and only the optical observation device is used based on this database to determine the grating pattern. By recognizing only this, the line width of the actual resist pattern can be accurately measured nondestructively. The database is preferably constructed, for example, by using an inspection board.

【0014】本発明の第2の観点に係る基板処理方法
は、基板上にレジストパターンを形成した後エッチング
処理を行うことにより、所望の回路パターンを形成する
基板処理方法において、(a)前記レジストパターンを
第1の光学的観察装置で認識する工程と、(b)前記認
識結果に基づき前記エッチングの処理条件を制御する工
程と、(c)前記制御の下でエッチング処理された基板
上の回路パターンを第2の光学的観察装置で認識する工
程とを具備する。
A substrate processing method according to a second aspect of the present invention is the substrate processing method for forming a desired circuit pattern by forming a resist pattern on a substrate and then performing an etching process. Recognizing the pattern with the first optical observation device; (b) controlling the etching processing conditions based on the recognition result; and (c) a circuit on the substrate that has been etched under the control. Recognizing the pattern with the second optical observation device.

【0015】本発明では、レジストパターンを第1の光
学的観察装置で認識してパターンの線幅等を測定するこ
とにより、非破壊でレジストパターンを認識でき精密な
線幅の測定を行うことができる。次に、この測定結果を
その後に行われるエッチング処理にフィードフォワード
し、最適な処理条件でエッチング処理を行うことによ
り、最終的に精密な回路パターンを形成することができ
る。
In the present invention, by recognizing the resist pattern with the first optical observation device and measuring the line width of the pattern, the resist pattern can be recognized nondestructively and the line width can be precisely measured. it can. Next, this measurement result is fed forward to an etching process to be performed thereafter, and the etching process is performed under optimum processing conditions, whereby a precise circuit pattern can be finally formed.

【0016】また、この形成された回路パターンを第2
の光学的観察装置で認識することにより、例えば第1及
び第2の光学的観察装置間で共通のデータベースとして
利用することができ、検査効率を向上させることができ
る。例えば、第1の光学的観察装置で認識されたグレー
ティングパターンのデータベースに基づき、エッチング
処理後の回路パターンを認識することにより、効率良
く、非破壊で、精密に回路パターンを認識することがで
きる。
Further, the formed circuit pattern is second
By recognizing with the optical observation device of, it can be used as a common database between the first and second optical observation devices, and the inspection efficiency can be improved. For example, by recognizing the circuit pattern after the etching process based on the database of the grating pattern recognized by the first optical observation device, the circuit pattern can be recognized efficiently, non-destructively, and precisely.

【0017】本発明の第1の観点に係る基板処理装置
は、基板上にレジストパターンを形成し該基板をエッチ
ング装置に受け渡して所望の回路パターンを形成する基
板処理装置において、前記レジストパターンを認識する
認識手段と、前記認識結果に基づき前記エッチングの処
理条件を制御する手段とを具備する。
A substrate processing apparatus according to a first aspect of the present invention recognizes the resist pattern in the substrate processing apparatus which forms a resist pattern on a substrate and transfers the substrate to an etching apparatus to form a desired circuit pattern. And a means for controlling the etching processing conditions based on the recognition result.

【0018】本発明の第2に観点に係る基板処理装置
は、基板上にレジストパターンを形成し該基板をエッチ
ング装置に受け渡して所望の回路パターンを形成する基
板処理装置において、前記レジストパターンを認識する
第1の光学的観察装置と、前記認識結果に基づき前記エ
ッチングの処理条件を制御する手段と、前記制御の下で
エッチング処理された基板上の回路パターンを認識する
第2の光学的観察装置とを具備する。
A substrate processing apparatus according to a second aspect of the present invention recognizes the resist pattern in the substrate processing apparatus which forms a resist pattern on a substrate and transfers the substrate to an etching apparatus to form a desired circuit pattern. A first optical observation apparatus for controlling the processing conditions of the etching based on the recognition result, and a second optical observation apparatus for recognizing a circuit pattern on the substrate etched under the control. And.

【0019】本発明の更なる特徴と利点は、添付した図
面及び発明の実施の形態の説明を参酌することにより一
層明らかになる。
Further features and advantages of the present invention will become more apparent with reference to the accompanying drawings and the description of the embodiments of the invention.

【0020】[0020]

【発明の実施の形態】以下、本発明の実施の形態を図面
に基づき説明する。
BEST MODE FOR CARRYING OUT THE INVENTION Embodiments of the present invention will be described below with reference to the drawings.

【0021】図1〜図3は本発明の第1の実施形態に係
る塗布現像処理装置及びエッチング装置の全体構成を示
す図であって、図1はその平面図、図2及び図3は塗布
現像処理装置の正面図及び背面図である。
1 to 3 are views showing the overall construction of a coating and developing treatment apparatus and an etching apparatus according to the first embodiment of the present invention. FIG. 1 is a plan view thereof, and FIGS. 2 and 3 show coating. FIG. 3 is a front view and a rear view of the development processing apparatus.

【0022】この塗布現像処理装置1は、被処理基板と
して半導体ウェハWをウェハカセットCRで複数枚たと
えば25枚単位で外部から装置1に搬入し又は装置1か
ら搬出したり、ウェハカセットCRに対してウェハWを
搬入・搬出したりするためのカセットステーション10
と、塗布現像工程の中で1枚ずつウェハWに所定の処理
を施す枚葉式の各種処理ユニットを所定位置に多段配置
してなる処理ステーション12と、この処理ステーショ
ン12と隣接して設けられる露光装置100との間でウ
ェハWを受け渡しするためのインターフェース部14と
を一体に接続した構成を有している。
In the coating and developing treatment apparatus 1, a plurality of semiconductor wafers W as substrates to be treated are loaded into or out of the apparatus 1 from the outside in units of a plurality of wafer cassettes CR, for example, 25 wafers. Cassette station 10 for loading and unloading wafers W
Further, a processing station 12 in which various single-wafer processing units that perform a predetermined process on the wafer W one by one in the coating and developing process are arranged in multiple stages at predetermined positions, and is provided adjacent to the processing station 12. The interface unit 14 for transferring the wafer W to and from the exposure apparatus 100 is integrally connected.

【0023】カセットステーション10では、図1に示
すように、カセット載置台20上の突起20aの位置に
複数、例えば5個のウェハカセットCRがそれぞれのウ
ェハ出入口を処理ステーション12側に向けてX方向一
列に載置され、カセット配列方向(X方向)およびウェ
ハカセットCR内に収納されたウェハのウェハ配列方向
(Z方向)に移動可能なウェハ搬送体22が各ウェハカ
セットCRに選択的にアクセスするようになっている。
さらに、このウェハ搬送体22は、θ方向に回転可能に
構成されており、図3に示すように後述する多段構成と
された第3の処理ユニット部G3に属する熱処理系ユニ
ットにもアクセスできるようになっている。
In the cassette station 10, as shown in FIG. 1, a plurality of wafer cassettes CR, for example, five wafer cassettes CR are located at the positions of the projections 20a on the cassette mounting table 20, with their respective wafer entrances / outlets facing the processing station 12 side in the X direction. The wafer carriers 22 placed in a row and movable in the cassette arrangement direction (X direction) and in the wafer arrangement direction (Z direction) of the wafers stored in the wafer cassette CR selectively access each wafer cassette CR. It is like this.
Further, the wafer carrier 22 is configured to be rotatable in the θ direction so that it can also access a heat treatment system unit belonging to the third processing unit section G3 having a multi-stage configuration described later as shown in FIG. It has become.

【0024】図1に示すように処理ステーション12
は、装置背面側(図中上方)において、カセットステー
ション10側から第3の処理ユニット部G3、第4の処
理ユニット部G4及び第5の処理ユニット部G5がそれ
ぞれ配置され、これら第3の処理ユニット部G3と第4
の処理ユニット部G4との間には、第1の主ウェハ搬送
装置A1が設けられている。この第1の主ウェハ搬送装
置A1は、後述するように、この第1の主ウェハ搬送体
16が第1の処理ユニット部G1、第3の処理ユニット
部G3及び第4の処理ユニット部G4等に選択的にアク
セスできるように設置されている。また、第4の処理ユ
ニット部G4と第5の処理ユニット部G5との間には第
2の主ウェハ搬送装置A2が設けられ、第2の主ウェハ
搬送装置A2は、第1と同様に、第2の主ウェハ搬送体
17が第2の処理ユニット部G2、第4の処理ユニット
部G4及び第5の処理ユニット部G5等に選択的にアク
セスできるように設置されている。
As shown in FIG. 1, the processing station 12
The third processing unit section G3, the fourth processing unit section G4, and the fifth processing unit section G5 are arranged from the cassette station 10 side on the rear side of the apparatus (upper side in the drawing). Unit part G3 and 4th
The first main wafer transfer device A1 is provided between the first main wafer transfer device A1 and the processing unit part G4. As will be described later, in the first main wafer transfer device A1, the first main wafer transfer body 16 includes a first processing unit section G1, a third processing unit section G3, a fourth processing unit section G4, and the like. Are installed to allow selective access to. Further, a second main wafer transfer apparatus A2 is provided between the fourth processing unit section G4 and the fifth processing unit section G5, and the second main wafer transfer apparatus A2 is the same as the first main wafer transfer apparatus A2. The second main wafer carrier 17 is installed so as to selectively access the second processing unit section G2, the fourth processing unit section G4, the fifth processing unit section G5, and the like.

【0025】また、第1の主ウェハ搬送装置A1の背面
側には熱処理ユニットが設置されており、例えばウェハ
Wを疎水化処理するためのアドヒージョンユニット(A
D)110、ウェハWを加熱する加熱ユニット(HP)
113が図3に示すように下方から順に2段ずつ重ねら
れている。なお、アドヒージョンユニット(AD)はウ
ェハWを温調する機構を更に有する構成としてもよい。
第2の主ウェハ搬送装置A2の背面側には、ウェハWの
エッジ部のみを選択的に露光する周辺露光装置(WE
E)120、ウェハWに塗布されたレジスト膜厚を検査
する膜厚検査装置119及び本発明に係る光学観察装置
(OCD)40が多段に設けられている。
Further, a heat treatment unit is installed on the back side of the first main wafer transfer device A1, and, for example, an adhesion unit (A) for hydrophobizing the wafer W.
D) 110, a heating unit (HP) for heating the wafer W
As shown in FIG. 3, 113 is superposed in two stages in order from the bottom. The adhesion unit (AD) may be configured to further have a mechanism for controlling the temperature of the wafer W.
On the back side of the second main wafer transfer device A2, there is a peripheral exposure device (WE) that selectively exposes only the edge portion of the wafer W.
E) 120, a film thickness inspection device 119 for inspecting the resist film thickness applied on the wafer W, and an optical observation device (OCD) 40 according to the present invention are provided in multiple stages.

【0026】光学観察装置40は、例えば光の回折、干
渉によりレジストパターンを認識するものであり、計算
上のパターン(ライブラリ)とをパターンマッチング
し、一致した計算上のパターンを実際のパターンとする
ものである。なお、第2の主ウェハ搬送装置A2の背面
側は、第1の主ウェハ搬送装置A1の背面側と同様に熱
処理ユニット(HP)113が配置構成される場合もあ
る。
The optical observation device 40 recognizes a resist pattern by, for example, diffraction and interference of light, performs pattern matching with a calculation pattern (library), and sets the coincident calculation pattern as an actual pattern. It is a thing. A heat treatment unit (HP) 113 may be arranged on the back side of the second main wafer transfer apparatus A2 as in the back side of the first main wafer transfer apparatus A1.

【0027】図3に示すように、第3の処理ユニット部
G3では、ウェハWを載置台に載せて所定の処理を行う
オーブン型の処理ユニット、例えばウェハWに所定の加
熱処理を施す高温度加熱処理ユニット(BAKE)、ウ
ェハWに精度の良い温度管理化で冷却処理を施す冷却処
理ユニット(CPL)、ウェハ搬送体22から主ウェハ
搬送体16へのウェハWの受け渡し部となるトランジシ
ョンユニット(TRS)、上下2段にそれぞれ受け渡し
部と冷却部とに分かれて配設された受け渡し・冷却処理
ユニット(TCP)が上から順に例えば10段に重ねら
れている。なお、第3の処理ユニット部G3において、
本実施形態では下から3段目はスペアの空間として設け
られている。第4の処理ユニット部G4でも、例えばポ
ストベーキングユニット(POST)、ウェハ受け渡し
部となるトランジションユニット(TRS)、レジスト膜
形成後のウェハWに加熱処理を施すプリベーキングユニ
ット(PAB)、冷却処理ユニット(CPL)が上から
順に例えば10段に重ねられている。更に第5の処理ユ
ニット部G5でも、例えば、露光後のウェハWに加熱処
理を施すためのポストエクスポージャーベーキングユニ
ット(PEB)、冷却処理ユニット(CPL)、ウェハ
Wの受け渡し部となるトランジションユニット(TRS)
が例えば上から順に10段に重ねられている。
As shown in FIG. 3, in the third processing unit section G3, an oven type processing unit for carrying out a predetermined processing by placing the wafer W on a mounting table, for example, a high temperature for carrying out a predetermined heating processing on the wafer W A heating processing unit (BAKE), a cooling processing unit (CPL) for performing cooling processing on the wafer W with accurate temperature control, and a transition unit (transfer unit for transferring the wafer W from the wafer carrier 22 to the main wafer carrier 16). TRS), and a transfer / cooling processing unit (TCP), which is separately arranged in the upper and lower two stages of the transfer part and the cooling part, is stacked in order from the top, for example, in ten stages. In the third processing unit section G3,
In this embodiment, the third stage from the bottom is provided as a spare space. Also in the fourth processing unit section G4, for example, a post-baking unit (POST), a transition unit (TRS) that serves as a wafer transfer section, a pre-baking unit (PAB) that heat-treats the wafer W after the resist film formation, and a cooling processing unit. (CPL) are stacked, for example, in 10 steps from the top. Further, in the fifth processing unit section G5, for example, a post-exposure baking unit (PEB) for performing a heating process on the exposed wafer W, a cooling processing unit (CPL), and a transition unit (TRS) serving as a transfer section for the wafer W. )
Are stacked in 10 layers in order from the top.

【0028】図1において処理ステーション12の装置
正面側(図中下方)には、第1の処理ユニット部G1と
第2の処理ユニット部G2とがY方向に併設されてい
る。この第1の処理ユニット部G1とカセットステーシ
ョン10との間及び第2の処理ユニット部G2とインタ
ーフェース部14との間には、図2に示すように、各処
理ユニット部G1及びG2で供給する処理液の温調に使
用される液温調ポンプ24,25がそれぞれ設けられて
おり、更に、この塗布現像処理装置1外に設けられた図
示しない空調器からの清浄な空気を各処理ユニット部G
1〜G5内部に供給するためのダクト等(図示せず)が
設けられている。
In FIG. 1, a first processing unit section G1 and a second processing unit section G2 are provided side by side in the Y direction on the front side (downward in the figure) of the processing station 12. Between the first processing unit section G1 and the cassette station 10 and between the second processing unit section G2 and the interface section 14, as shown in FIG. 2, the respective processing unit sections G1 and G2 supply. Liquid temperature control pumps 24 and 25 used for temperature control of the processing liquid are provided respectively, and further, clean air from an air conditioner (not shown) provided outside the coating and developing processing apparatus 1 is supplied to each processing unit section. G
1 to G5 are provided with ducts and the like (not shown) for supplying the inside.

【0029】図2に示すように、第1の処理ユニット部
G1では、カップCP内でウェハWをスピンチャックに
載せて所定の処理を行う5台のスピナ型処理ユニット、
例えば、ウェハ上にレジスト膜を形成するレジスト塗布
処理ユニット(COT)が3段及び露光時の光の反射を
防止するために反射防止膜を形成するボトムコーティン
グユニット(BARC)が2段、下方から順に5段に重
ねられている。また第2の処理ユニット部G2でも同様
に、5台のスピナ型処理ユニット、例えば現像処理ユニ
ット(DEV)が5段に重ねられている。レジスト塗布
処理ユニット(COT)ではレジスト液の排液が機構的
にもメンテナンスの上でも面倒であることから、このよ
うに下段に配置するのが好ましい。しかし、必要に応じ
て上段に配置することも可能である。
As shown in FIG. 2, in the first processing unit section G1, five spinner type processing units for placing the wafer W on the spin chuck in the cup CP and performing a predetermined processing,
For example, a resist coating processing unit (COT) that forms a resist film on a wafer has three stages, and a bottom coating unit (BARC) that forms an antireflection film to prevent reflection of light at the time of exposure has two stages from below. They are stacked in five steps in order. Similarly, in the second processing unit section G2, five spinner type processing units, for example, development processing units (DEV) are stacked in five stages. In the resist coating processing unit (COT), draining of the resist solution is troublesome both mechanically and in terms of maintenance. Therefore, it is preferable to arrange the resist solution in the lower stage. However, it is also possible to arrange them in the upper stage if necessary.

【0030】また、第1及び第2の処理ユニット部G1
及びG2の最下段には、各処理ユニット部G1及びG2
に上述した所定の処理液を供給するケミカル室(CH
M)26,27がそれぞれ設けられている。
Further, the first and second processing unit sections G1
At the bottom of G2 and G2, there are processing unit sections G1 and G2.
The chemical chamber (CH
M) 26 and 27 are provided respectively.

【0031】インターフェース部14の正面部には可搬
性のピックアップカセットCRと定置型のバッファカセ
ットBRが2段に配置され、中央部にはウェハ搬送体2
7が設けられている。このウェハ搬送体27は、X,Z
方向に移動して両カセットCR,BRにアクセスするよ
うになっている。また、ウェハ搬送体27は、θ方向に
回転可能に構成され、第5の処理ユニット部G5にもア
クセスできるようになっている。更に、図3に示すよう
にインターフェース部14の背面部には、高精度冷却処
理ユニット(CPL)が複数設けられ、例えば上下2段
とされている。ウェハ搬送体27はこの冷却処理ユニッ
ト(CPL)にもアクセス可能になっている。
A portable pickup cassette CR and a stationary buffer cassette BR are arranged in two stages on the front surface of the interface unit 14, and the wafer carrier 2 is arranged in the central portion.
7 is provided. This wafer carrier 27 is composed of X, Z
By moving in the direction, both cassettes CR and BR can be accessed. Further, the wafer carrier 27 is configured to be rotatable in the θ direction so that it can also access the fifth processing unit section G5. Further, as shown in FIG. 3, a plurality of high-precision cooling processing units (CPL) are provided on the back surface of the interface section 14, for example, two upper and lower stages. The wafer transfer body 27 can also access this cooling processing unit (CPL).

【0032】図1を参照して、第2の処理ユニット部G
2の正面側には、本発明に係るエッチング装置50が配
設されている。このエッチング装置50と第2の処理ユ
ニット部G2との間には、エッチング装置50及び処理
ユニット部G2における現像処理ユニット(DEV)に
対してウェハの搬送を行うウェハ搬送装置30が設けら
れている。このウェハ搬送装置30は、現像処理ユニッ
ト(DEV)に設けられたシャッタ49が開くことで現
像処理ユニット内にアクセス可能となり、現像処理され
たウェハを取り出すことができる。なお、このようなシ
ャッタ49は、他の各処理ユニットにも全て備えられて
いるため、各ユニットともウェハを処理中においては処
理室内部が密閉されるようになっており、また、シャッ
タが開くことによりウェハ搬送体22及び27、主ウェ
ハ搬送装置A1及びA2がそれぞれ各所定のユニットに
対してアクセス可能となっている。また、エッチング装
置50は、多段の現像処理ユニット(DEV)に合わせ
て多段に構成するようにしてもよい。この場合、ウェハ
搬送装置30はZ方向に垂直に移動可能な構成とするこ
とが好ましい。
Referring to FIG. 1, the second processing unit section G
An etching device 50 according to the present invention is arranged on the front side of the second unit 2. A wafer transfer device 30 that transfers a wafer to the etching processing device 50 and the development processing unit (DEV) in the processing unit part G2 is provided between the etching device 50 and the second processing unit part G2. . This wafer transfer device 30 can access the inside of the development processing unit by opening the shutter 49 provided in the development processing unit (DEV), and can take out the wafer subjected to the development processing. Since the shutter 49 as described above is also provided in each of the other processing units, the inside of the processing chamber is sealed during the processing of the wafer in each unit, and the shutter is opened. As a result, the wafer carriers 22 and 27 and the main wafer carriers A1 and A2 can access the respective predetermined units. Further, the etching device 50 may be configured in multiple stages in accordance with the multi-stage development processing unit (DEV). In this case, it is preferable that the wafer transfer device 30 be configured to be vertically movable in the Z direction.

【0033】図4は第1の主ウェハ搬送装置A1を示す
斜視図である。なお、第2の主ウェハ搬送装置A2は第
1の主ウェハ搬送装置A1と同一であるのでその説明を
省略する。
FIG. 4 is a perspective view showing the first main wafer transfer device A1. Since the second main wafer transfer device A2 is the same as the first main wafer transfer device A1, its description is omitted.

【0034】図1に示すように、主ウェハ搬送装置A1
は筐体41に囲繞されており、パーティクルの侵入を防
止している。図4において説明をわかりやすくするた
め、筐体41の図示を一部省略している。
As shown in FIG. 1, the main wafer transfer device A1
Is surrounded by the housing 41 to prevent particles from entering. In FIG. 4, the illustration of the housing 41 is partially omitted for clarity of explanation.

【0035】図4に示すように、この主ウェハ搬送装置
A1の両端にはポール33が垂設されており、主ウェハ
搬送体16(17)がこのポール33に沿って垂直方向
(Z方向)に移動可能に配置されている。主ウェハ搬送
体16における搬送基台55にはウェハWを保持する3
つのピンセット7a〜7cが備えられており、これらピ
ンセット7a〜7cは搬送基台55に内蔵された図示し
ない駆動機構により、水平方向に移動可能に構成されて
いる。搬送基台55の下部には、この搬送基台55を支
持する支持体45が、θ方向に回転可能な回転部材46
を介して接続されている。これにより、ウェハ搬送体1
6はθ方向に回転可能となっている。支持体45にはフ
ランジ部45aが形成され、このフランジ部45aがポ
ール33に設けられた溝33aに摺動可能に係合してお
り、このポール33に内蔵されたベルト駆動機構により
スライド可能に設けられている。これにより、主ウェハ
搬送体16がこのポール33に沿って垂直方向に移動可
能となっている。
As shown in FIG. 4, poles 33 are vertically provided at both ends of the main wafer transfer device A1, and the main wafer transfer body 16 (17) extends vertically (Z direction) along the poles 33. It is arranged to be movable. The wafer W is held on the carrier base 55 of the main wafer carrier 16 3
Two tweezers 7a to 7c are provided, and these tweezers 7a to 7c are configured to be movable in the horizontal direction by a drive mechanism (not shown) built in the transport base 55. Below the transport base 55, a support member 45 that supports the transport base 55 is provided with a rotating member 46 that is rotatable in the θ direction.
Connected through. As a result, the wafer carrier 1
6 is rotatable in the θ direction. A flange portion 45a is formed on the support body 45, and the flange portion 45a is slidably engaged with a groove 33a provided in the pole 33, and is slidable by a belt drive mechanism incorporated in the pole 33. It is provided. As a result, the main wafer carrier 16 can move vertically along the pole 33.

【0036】なお、主ウェハ搬送装置A1の底部には、
この搬送装置A1内部の気圧及び温湿度をコントロール
するファン36が例えば4つ設けられている。
At the bottom of the main wafer transfer device A1,
For example, four fans 36 for controlling the atmospheric pressure and temperature / humidity inside the transport device A1 are provided.

【0037】上記エッチング装置50は、例えば平行平
板型のプラズマエッチング装置であり、図5はこのエッ
チング装置50の断面図を示す。チャンバ37内に一対
の電極板43,44が配置されており、上部電極44に
は高周波(RF)電源48が接続され、下部電極43は
接地されている。下部電極43の下部には、基板を支持
する例えば3本の支持ピン47が昇降駆動モータ42に
より昇降可能に配置されている。チャンバ37には、ガ
ス供給源34からのガスをチャンバ37内に供給するた
めの供給口38が設けられており、また、チャンバ37
の下部には、チャンバ37内部を真空にする真空ポンプ
28が設けられている。チャンバ37の側面には、上記
ウェハ搬送装置30との間で基板の受け渡しを行うため
の開口部37aが形成されており、この開口部36aは
シャッタ部材39により開閉可能に構成されている。
The etching apparatus 50 is, for example, a parallel plate type plasma etching apparatus, and FIG. 5 shows a sectional view of the etching apparatus 50. A pair of electrode plates 43 and 44 is arranged in the chamber 37, a radio frequency (RF) power source 48 is connected to the upper electrode 44, and the lower electrode 43 is grounded. Below the lower electrode 43, for example, three support pins 47 that support the substrate are arranged so that they can be moved up and down by an up-and-down drive motor 42. The chamber 37 is provided with a supply port 38 for supplying the gas from the gas supply source 34 into the chamber 37.
A vacuum pump 28 that vacuums the inside of the chamber 37 is provided in the lower part of the. An opening 37a for transferring a substrate to and from the wafer transfer device 30 is formed on a side surface of the chamber 37, and the opening 36a is configured to be opened and closed by a shutter member 39.

【0038】ここで、図1を参照して、制御部60は、
このエッチング装置50のエッチング時間、エッチング
ガスの組成比及びエッチングパワーのうち少なくとも1
つを制御するようになっている。このエッチングに用い
られるガス種は、例えばCF 、O、N、Ar、C
HF、SF等のガスを組み合わせで混合して用いる
ことが可能となっている。これらのエッチング時間、エ
ッチングガスの組成比及びエッチングパワー等の各処理
条件は、上記光学観察装置40で認識されたレジストパ
ターンの線幅に基づいて制御されるようになっている。
Here, with reference to FIG.
Etching time of this etching device 50, etching
At least 1 of gas composition ratio and etching power
It is designed to control one. Used for this etching
The gas species used is, for example, CF Four, OTwo, NTwo, Ar, C
HFThree, SF6Gases such as etc. are mixed and used in combination
It is possible. These etching times,
Each processing such as etching gas composition ratio and etching power
The conditions are the resist pattern recognized by the optical observation device 40.
It is designed to be controlled based on the line width of the turn.

【0039】図6は、当該光学観察装置40の概念的な
構成図を示す。この光学観察装置40は、破線で示す光
学系61を含み、この光学系61は、例えば白色光を発
するキセノンランプ62と、このキセノンランプ62か
らの光を直角下方向に反射させるように配置されたハー
フミラー56と、このハーフミラー56による反射光を
ウェハW表面に形成されたレジストパターンに導くレン
ズ54と、ウェハWからの反射回折光を検出する検出器
57とを有している。また、この光学観察装置40に
は、ウェハWを載置するステージ53が設けられ、また
検出器57による検出結果を処理する処理部11が接続
されている。光学系61は、図示しない駆動機構により
ウェハWの面方向に平行な方向(X−Y方向)に移動可
能に構成されており、ウェハW上に形成された1チップ
ごとに光を照射し観察できるようになっている。
FIG. 6 is a conceptual block diagram of the optical observation device 40. The optical observation device 40 includes an optical system 61 indicated by a broken line. The optical system 61 is arranged so as to reflect, for example, a xenon lamp 62 that emits white light and the light from the xenon lamp 62 in a downward right direction. The half mirror 56, the lens 54 that guides the light reflected by the half mirror 56 to the resist pattern formed on the surface of the wafer W, and the detector 57 that detects the reflected diffracted light from the wafer W. Further, the optical observation device 40 is provided with a stage 53 on which the wafer W is placed, and is also connected with a processing unit 11 for processing the detection result of the detector 57. The optical system 61 is configured to be movable in a direction parallel to the surface direction of the wafer W (X-Y direction) by a drive mechanism (not shown), and irradiates light on each chip formed on the wafer W for observation. You can do it.

【0040】処理部11は、例えば、検出器57による
検出結果32と、レジストパターンの状態(例えば線
幅、各パターンの間ピッチ、高さ等)に対応する回折パ
ターンを計算(シミュレーション)により導出する算出
部59と、この算出部59により導出された複数の回折
パターンを記憶する記憶部58と、検出結果32と記憶
部58に記憶された複数の回折パターンとを比較し、そ
の比較された複数の回折パターンのうち検出結果32に
対応する1つの回折パターンを測定結果として記憶部5
8から抽出する解析部52とを有している。これによ
り、この光学観察装置40は、検出結果32と記憶部5
8に記憶された計算上のパターン(ライブラリ)とをパ
ターンマッチングし、一致した計算上のパターンを実際
のパターンとみなすことができる。より具体的な一例と
して、本実施形態では、例えばスキャテロメトリ(Sc
atterometry)技術によりパターンマッチン
グを行っている。
The processing section 11 derives a diffraction pattern corresponding to the detection result 32 by the detector 57 and the state of the resist pattern (for example, line width, pitch between patterns, height, etc.) by calculation (simulation). The calculation unit 59, the storage unit 58 that stores the plurality of diffraction patterns derived by the calculation unit 59, and the detection result 32 and the plurality of diffraction patterns stored in the storage unit 58 are compared, and the comparison is performed. Of the plurality of diffraction patterns, one diffraction pattern corresponding to the detection result 32 is stored as the measurement result in the storage unit 5.
8 and the analysis part 52 which extracts from 8. As a result, the optical observation device 40 can detect the detection result 32 and the storage unit 5.
It is possible to perform pattern matching with the calculated pattern (library) stored in 8, and regard the matched calculated pattern as an actual pattern. As a more specific example, in the present embodiment, for example, scatterometry (Sc
The pattern matching is performed by the technique of “atterometry”.

【0041】次に、以上説明した塗布現像処理装置1の
一連の処理工程について、図7に示すフローを参照しな
がら説明する。
Next, a series of processing steps of the coating and developing processing apparatus 1 described above will be described with reference to the flow shown in FIG.

【0042】先ず、カセットステーション10におい
て、ウェハ搬送体22がカセット載置台20上の処理前
のウェハWを収容しているカセットCRにアクセスし
て、そのカセットCRから1枚のウェハWを取り出す。
そして、次にウェハWは、受け渡し・冷却処理ユニット
(TCP)を介して第1の主ウェハ搬送装置A1に受け
渡され、ボトムコーティングユニット(BARC)へ搬
送される。そしてここで、露光時においてウェハからの
露光光の反射を防止するために反射防止膜が形成される
(ステップ1)。
First, in the cassette station 10, the wafer carrier 22 accesses the cassette CR which contains the unprocessed wafer W on the cassette mounting table 20, and takes out one wafer W from the cassette CR.
Then, the wafer W is then transferred to the first main wafer transfer device A1 via the transfer / cooling processing unit (TCP) and transferred to the bottom coating unit (BARC). Then, here, an antireflection film is formed to prevent reflection of exposure light from the wafer during exposure (step 1).

【0043】次に、ウェハWは、第3の処理ユニット部
G3におけるベーキング処理ユニットに搬送され、例え
ば120℃で所定の加熱処理が行われ(ステップ2)、
冷却処理ユニット(CPL)で所定の冷却処理が行われ
た後(ステップ3)、ウェハWは、レジスト塗布処理ユ
ニット(COT)において、所望のレジスト膜が形成さ
れる(ステップ4)。
Next, the wafer W is transferred to the baking processing unit in the third processing unit section G3 and subjected to a predetermined heat treatment at 120 ° C. (step 2), for example.
After a predetermined cooling process is performed in the cooling process unit (CPL) (step 3), a desired resist film is formed on the wafer W in the resist coating process unit (COT) (step 4).

【0044】レジスト膜が形成されると、第1の主ウェ
ハ搬送装置A1によりウェハWはプリベーキングユニッ
ト(PAB)に搬送され、例えば100℃前後で所定の
加熱処理及び温調処理が行われる(ステップ5)。
When the resist film is formed, the wafer W is transferred to the pre-baking unit (PAB) by the first main wafer transfer device A1 and subjected to predetermined heat treatment and temperature control treatment at, for example, about 100 ° C. ( Step 5).

【0045】次に、ウェハWは冷却処理ユニット(CP
L)で所定の温度で冷却処理される(ステップ6)。こ
の後、ウェハWは第2の主搬送装置A2により取り出さ
れて膜厚検査装置119へ搬送され、レジスト膜厚の測
定が行われる場合もある。そしてウェハWは、第5の処
理ユニット部G5におけるトランジションユニット(T
RS)及びインターフェース部14を介して露光装置1
00に受け渡されここで露光処理される(ステップ
7)。
Next, the wafer W is cooled by the cooling processing unit (CP
L) is cooled at a predetermined temperature (step 6). After that, the wafer W may be taken out by the second main transfer device A2 and transferred to the film thickness inspection device 119 to measure the resist film thickness. The wafer W is then transferred to the transition unit (T
The exposure apparatus 1 via the RS) and the interface unit 14.
00, and exposure processing is performed there (step 7).

【0046】次に、ウェハWはインターフェース部14
及び第5の処理ユニット部G5におけるトランジション
ユニット(TRS)を介して第2の主搬送装置A2に受け
渡された後、ポストエクスポージャーベーキングユニッ
ト(PEB)に搬送され、ここで所定の加熱処理及び温
調処理が行われる(ステップ8)。この後、冷却処理ユ
ニット(CPL)で所定の冷却処理が行われる(ステッ
プ9)露光処理終了後、ウェハWはインターフェース部
14において一旦バッファカセットBRに収容される場
合もある。そして、ウェハWは現像処理ユニット(DE
V)に搬送され現像処理が行われる(ステップ10)。
Next, the wafer W has the interface section 14
And, after being transferred to the second main transfer device A2 via the transition unit (TRS) in the fifth processing unit section G5, they are transferred to the post-exposure baking unit (PEB), where a predetermined heat treatment and temperature are applied. Toning processing is performed (step 8). Thereafter, a predetermined cooling process is performed in the cooling process unit (CPL) (step 9). After the exposure process is completed, the wafer W may be temporarily stored in the buffer cassette BR in the interface section 14. Then, the wafer W is processed by the development processing unit (DE
V) and development processing is performed (step 10).

【0047】次に、ウェハWは第2の主ウェハ搬送装置
A2を介して光学観察装置40に搬入され、ここでレジ
ストパターンが認識されてレジストパターンの線幅等が
測定される(ステップ11)。ここで、前述したよう
に、測定された線幅に基づき例えばエッチング装置50
におけるエッチング時間等のエッチング処理条件を設定
する(ステップ12)。そしてウェハWは、例えば第2
の処理ユニット部G2及びウェハ搬送装置30を介して
エッチング装置50に搬入され、上記設定された処理条
件でエッチングされる(ステップ13)。
Next, the wafer W is carried into the optical observation device 40 via the second main wafer transfer device A2, where the resist pattern is recognized and the line width of the resist pattern is measured (step 11). . Here, as described above, based on the measured line width, for example, the etching device 50
Etching processing conditions such as the etching time in step 2 are set (step 12). The wafer W is, for example, the second
The wafer is carried into the etching apparatus 50 through the processing unit section G2 and the wafer transfer apparatus 30 and etched under the processing conditions set above (step 13).

【0048】図8は、エッチング時間とエッチングによ
り形成される回路パターンの線幅との関係を示す。この
ように、エッチング時間が長いほど線幅が小さくなるこ
とがわかっている。従って、光学観察装置40で測定さ
れた線幅が所定の値より大きい場合には、エッチング時
間を所定時間より長くする等の制御を行うことにより、
容易に所望の線幅を有する回路パターンを形成すること
ができる。
FIG. 8 shows the relationship between the etching time and the line width of the circuit pattern formed by etching. Thus, it is known that the line width becomes smaller as the etching time becomes longer. Therefore, when the line width measured by the optical observation device 40 is larger than a predetermined value, by performing control such as making the etching time longer than the predetermined time,
A circuit pattern having a desired line width can be easily formed.

【0049】図9は、エッチングパワーとエッチングに
より形成される回路パターンの線幅との関係を示す。こ
の場合もエッチング時間と同様に、エッチングパワーが
大きいほど線幅が小さくなることがわかっているため、
このエッチングパワーを制御することにより、容易に所
望の線幅を有する回路パターンを形成することができ
る。しかし、必要に応じてエッチングガスの組成比につ
いても合わせて複合的に制御するようにしてもかまわな
い。
FIG. 9 shows the relationship between the etching power and the line width of the circuit pattern formed by etching. Also in this case, it is known that the line width becomes smaller as the etching power becomes larger, like the etching time.
By controlling this etching power, it is possible to easily form a circuit pattern having a desired line width. However, if necessary, the composition ratio of the etching gas may also be controlled in a composite manner.

【0050】エッチングが終了すると、ウェハはウェハ
搬送装置30及び第2の処理ユニット部G2を介してウ
ェハWは第2の主搬送装置A2により取り出される。そ
して第4の処理ユニット部G4におけるトランジション
ユニット(TRS)、第1の主ウェハ搬送装置A1、第3
の処理ユニット部におけるトランジションユニット(T
RS)及びウェハ搬送体22を介してカセットステーシ
ョン10におけるウェハカセットCRに戻される。
When the etching is completed, the wafer W is taken out by the second main carrier A2 via the wafer carrier 30 and the second processing unit G2. Then, the transition unit (TRS) in the fourth processing unit section G4, the first main wafer transfer device A1, the third
Of the transition unit (T
It is returned to the wafer cassette CR in the cassette station 10 via RS) and the wafer carrier 22.

【0051】なお、現像処理の後、ポストベーキングユ
ニット(POST)により所定の加熱処理が行われる場
合もある。
After the development processing, a predetermined heat treatment may be performed by a post baking unit (POST).

【0052】以上のように、本実施形態では、現像後の
レジストパターンを認識してパターンの線幅等を測定し
た後、この測定結果をその後に行われるエッチング処理
にフィードフォワードし、最適な処理条件でエッチング
処理を行うことにより、最終的に精密な回路パターンを
形成することができる。
As described above, in this embodiment, after the resist pattern after development is recognized and the line width of the pattern is measured, the measurement result is fed forward to the etching process to be performed thereafter, and the optimum process is performed. By performing the etching process under the conditions, a precise circuit pattern can be finally formed.

【0053】また、特にエッチング時間と線幅との関係
に関しては、図8に示すように明らかな相関関係がある
ことがわかっているため、エッチング時間を制御するこ
とにより容易に所望の線幅を有する回路パターンを形成
することができる。また、本実施形態は、実際の製品ウ
ェハを用いて製造する場合と、検査用のウェハを用いて
製品製造前における初期設定の場合と両者共に実行する
ことが可能である。
Further, regarding the relationship between the etching time and the line width, it is known that there is a clear correlation as shown in FIG. 8. Therefore, the desired line width can be easily controlled by controlling the etching time. A circuit pattern having the same can be formed. Further, the present embodiment can be executed both in the case of manufacturing using an actual product wafer and in the case of initial setting before manufacturing a product using an inspection wafer.

【0054】また、本実施形態では、光の干渉、回折を
利用した光学観察装置40を用いることにより、従来に
おいて電子顕微鏡を用いてレジストパターンの認識を行
った場合のようにレジストパターンの収縮を発生させる
ことはなく、非破壊で線幅を測定することができる。こ
れにより、実際のレジストパターンの線幅を精密に測定
できエッチング処理条件を的確に把握できるため、所望
の回路パターンの形成に寄与する。
Further, in the present embodiment, by using the optical observation device 40 utilizing the interference and diffraction of light, the contraction of the resist pattern is reduced as in the conventional case where the resist pattern is recognized by using an electron microscope. The line width can be measured non-destructively without being generated. As a result, the line width of the actual resist pattern can be accurately measured and the etching processing conditions can be accurately grasped, which contributes to the formation of a desired circuit pattern.

【0055】更に、光学観察装置40は、SEM等の電
子顕微鏡に比べ装置サイズが小さく、しかも電子顕微鏡
等のように真空処理等を要しないので、本実施形態のよ
うに容易にインライン化することができる。また、光学
観察装置40の装置コストも電子顕微鏡に比べて安価と
いう利点があり、更に、スループットも電子顕微鏡に比
べ高い。
Further, the optical observation device 40 is smaller in size than an electron microscope such as an SEM and does not require vacuum processing or the like unlike the electron microscope, so that it can be easily installed inline as in the present embodiment. You can Further, the optical observation device 40 has an advantage that the device cost is lower than that of the electron microscope, and the throughput is higher than that of the electron microscope.

【0056】図10は、本発明の第2の実施形態に係る
塗布現像処理装置及びエッチング装置等の平面図であ
る。なお、図10において、図1における構成要素と同
一のものについては同一の符号を付すものとし、その説
明を省略する。また、本実施形態では、形成されたレジ
ストパターンが複雑な形状であるため、線幅等を測定で
きない場合に、検査用のウェハを用いてレジストパター
ンを形成しこの線幅等をSEM等の電子顕微鏡で認識す
る場合について説明する。
FIG. 10 is a plan view of a coating and developing treatment apparatus, an etching apparatus and the like according to the second embodiment of the present invention. In FIG. 10, the same components as those in FIG. 1 are designated by the same reference numerals, and the description thereof will be omitted. Further, in the present embodiment, since the formed resist pattern has a complicated shape, when the line width or the like cannot be measured, the resist pattern is formed using the inspection wafer, and the line width or the like is measured by an SEM or the like. The case of recognizing with a microscope will be described.

【0057】本実施形態では、第1の実施形態と同一の
光学観察観察装置を2つ設けている。第1の光学観察装
置40Aは、第1の実施形態と同様に第2の主ウェハ搬
送装置A2の背面側に配設し、第2の光学観察装置40
Bはエッチング装置50にウェハ搬送装置64を介して
接続させている。このウェハ搬送装置64は、エッチン
グ装置50と第2の光学観察装置40Bとの間でウェハ
の搬送を行うようになっている。
In this embodiment, the same two optical observation and observation devices as in the first embodiment are provided. The first optical observation device 40A is arranged on the back side of the second main wafer transfer device A2 as in the first embodiment, and the second optical observation device 40A is provided.
B is connected to the etching apparatus 50 via the wafer transfer device 64. The wafer transfer device 64 is configured to transfer a wafer between the etching device 50 and the second optical observation device 40B.

【0058】また、第1の光学観察装置40Aの背面側
にはSEM等の電子顕微鏡装置70が配設されており、
この電子顕微鏡装置70と第1の光学観察装置40Aと
の間には、これらの間でウェハの搬送を行うウェハ搬送
装置63が配設されている。なお、この電子顕微鏡装置
70は、このように搬送装置63で接続してインライン
化せずとも、スタンドアロンであってもかまわない。
An electron microscope device 70 such as an SEM is provided on the back side of the first optical observation device 40A.
A wafer transfer device 63 that transfers a wafer between the electron microscope device 70 and the first optical observation device 40A is arranged between them. The electron microscope device 70 does not have to be connected in-line by the transport device 63 as described above and may be a stand-alone device.

【0059】図11に示すフロー参照して、本実施形態
では、例えば、上記第1の実施形態と同様にレジストパ
ターンをウェハ上に形成する。レジストパターンが形成
されたウェハは、光学観察装置40Aに搬入される。こ
こで、図12及び図13を参照して、先ずウェハW上の
複数のチップ65以外、すなわち製品領域外に形成され
たグレーティングパターンPを認識し、このグレーティ
ングパターンPの線幅を測定する(ステップ11)。こ
の光学観察装置40Aで測定されたグレーティングパタ
ーンPの線幅をxとする。なお、この場合、グレーティ
ングパターンPの格子ピッチは光学的に認識できる程度
の大きさとされており、このグレーティングパターンP
は、露光処理の際のマスク(レチクル)に、露光処理の
際にチップパターンである実際のレジストパターンQと
共にウェハW上に転写すればよい。
With reference to the flow shown in FIG. 11, in this embodiment, for example, a resist pattern is formed on a wafer as in the first embodiment. The wafer on which the resist pattern is formed is carried into the optical observation device 40A. Here, referring to FIGS. 12 and 13, first, the grating pattern P formed outside the plurality of chips 65 on the wafer W, that is, outside the product region is recognized, and the line width of the grating pattern P is measured ( Step 11). The line width of the grating pattern P measured by the optical observation device 40A is x. In this case, the grating pitch of the grating pattern P is set to a size that can be optically recognized.
Can be transferred onto the wafer W along with the actual resist pattern Q which is a chip pattern in the exposure process on a mask (reticle) in the exposure process.

【0060】次に、ウェハWは電子顕微鏡装置70に搬
送され、ここでグレーティングパターンP及びレジスト
パターンQを認識し、それぞれの線幅の測定を行う(ス
テップ12)。この電子顕微鏡装置70で測定されたグ
レーティングパターンPの線幅をaとし、レジストパタ
ーンQの線幅をbとする。この電子顕微鏡装置70は電
子線を利用しているためレジストに収縮が起こり、測定
された各線幅は実際の線幅より若干小さいものとなって
いる。
Next, the wafer W is transferred to the electron microscope device 70, where the grating pattern P and the resist pattern Q are recognized, and the line width of each is measured (step 12). The line width of the grating pattern P measured by the electron microscope device 70 is a, and the line width of the resist pattern Q is b. Since the electron microscope apparatus 70 uses an electron beam, the resist contracts, and the measured line widths are slightly smaller than the actual line widths.

【0061】以上のように求められた線幅x,a,bの
データは制御部60(図10参照)に送られる。図14
は、光学観察装置40A及び電子顕微鏡装置70でそれ
ぞれ測定された各線幅x,a,bを示す。ここで最終的
に求めたい線幅は、実際のレジストパターンQの線幅y
である。しかしこのyは複雑な形状であるために光学観
察装置40Aでは観察できないので、このyを制御部6
0において次のように算出する。
The data of the line widths x, a, b obtained as described above is sent to the control unit 60 (see FIG. 10). 14
Shows line widths x, a, and b measured by the optical observation device 40A and the electron microscope device 70, respectively. Here, the line width to be finally obtained is the line width y of the actual resist pattern Q.
Is. However, since this y has a complicated shape and cannot be observed by the optical observation device 40A, this y is controlled by the control unit 6.
At 0, it is calculated as follows.

【0062】先ず、上記電子顕微鏡による検査結果a,
bに基づき、このa,b間の相関関係b=f(a)を
求める(ステップ13−1)。次に、グレーティングパ
ターンPの線幅x,aに基づき、このx,a間の相関関
係x=f(a)を求める(ステップ13−2)。そし
て、これら相関関係f及びfに基づきx,y間の相
関関係y=f(x)を求めることができる。これによ
り、最終的に求めたい実際のレジストパターンQの線幅
yが求められることになる(ステップ13−3)。
First, the inspection result a by the electron microscope,
Based on b, the correlation b = f 1 (a) between a and b is obtained (step 13-1). Next, based on the line widths x and a of the grating pattern P, the correlation x = f 2 (a) between these x and a is obtained (step 13-2). Then, a correlation y = f 3 (x) between x and y can be obtained based on these correlations f 1 and f 2 . As a result, the line width y of the actual resist pattern Q to be finally obtained is obtained (step 13-3).

【0063】このような相関関係f及びfを求める
工程は、複数の異なるパターンがそれぞれ形成された複
数のチップに対し、又は複数の検査用ウェハに対し行う
ようにして、複数のデータを集積して求めることが好ま
しい。これにより、より高精度にレジストパターンQの
線幅測定を行うことができる。なお、相関関係f及び
を求める順序については、fを求めてからf
求めるようにしてもよい。
The process of obtaining such correlations f 1 and f 2 is performed for a plurality of chips on which a plurality of different patterns are formed, or for a plurality of inspection wafers, and a plurality of data are obtained. It is preferable to collect and obtain. Accordingly, the line width of the resist pattern Q can be measured with higher accuracy. Regarding the order of obtaining the correlations f 1 and f 2 , f 1 may be obtained after obtaining f 2 .

【0064】実際に製品ウェハを製造する場合には、以
上のようなデータベースを基に光学観察装置40Aのみ
を用いて、例えばグレーティングパターンのみを認識す
ることにより、非破壊的に実際のレジストパターンの線
幅を精密に測定することができる。
When a product wafer is actually manufactured, only the optical observation apparatus 40A is used based on the above database to recognize, for example, only the grating pattern, thereby nondestructively determining the actual resist pattern. The line width can be measured accurately.

【0065】そして例えば、以上のように求めたレジス
トパターンの線幅に基づいて、第1の実施形態と同様に
最適なエッチング処理条件を設定し(ステップ14)、
この処理条件下でエッチング処理を行う(ステップ1
5)。
Then, for example, based on the line width of the resist pattern obtained as described above, optimum etching processing conditions are set as in the first embodiment (step 14).
Etching is performed under these processing conditions (step 1)
5).

【0066】次に、エッチング処理後に形成された回路
パターンの線幅を検査する場合について説明する。上記
ステップ15のエッチング処理が施されたウェハWは第
2の光学観察装置40Bに搬入される。この第2の光学
観察装置40Bでは、上記第1の光学観察装置40Aの
測定により求められた相関関係fに基づいて、グレー
ティングパターンを認識する(ステップ16)だけで実
際の回路パターン上のレジストの線幅を予測することが
可能となる(ステップ17)。このように、同一の光学
観察装置40A及び40Bを用いることにより、データ
ベースを共有できるので効率良く、しかも非破壊的にエ
ッチング後の線幅測定を精密に行うことができる。
Next, the case of inspecting the line width of the circuit pattern formed after the etching process will be described. The wafer W that has been subjected to the etching process in step 15 is carried into the second optical observation device 40B. In the second optical observation device 40B, the based on the correlation between f 3 obtained by the measurement of the first optical observation device 40A, the resist on the actual circuit pattern just recognize grating pattern (Step 16) It is possible to predict the line width of (step 17). In this way, by using the same optical observation devices 40A and 40B, the database can be shared, so that the line width after etching can be measured efficiently and nondestructively.

【0067】本発明は以上説明した実施形態には限定さ
れるものではなく、種々の変形が可能である。
The present invention is not limited to the embodiments described above, and various modifications are possible.

【0068】例えば、上記実施形態では、エッチングの
処理条件としてエッチング時間、ガスの組成比、パワー
を制御するようにしたが、これらに限らず、チャンバ内
の真空度等、線幅の変動に影響を及ぼすと考えられるパ
ラメータ全てについて制御するようにしてもよい。
For example, in the above embodiment, the etching time, the gas composition ratio, and the power are controlled as the etching processing conditions, but the present invention is not limited to these, and influences on the variation of the line width such as the degree of vacuum in the chamber. It is also possible to control all the parameters that are considered to affect.

【0069】また、上記実施形態では、エッチング装置
50と塗布現像処理装置1とを一体的に設けてインライ
ンとしたが、エッチング装置をスタンドアロンとして、
作業員によりウェハを運搬するようにしてもよい。この
場合、エッチング装置50と塗布現像処理装置1とをL
AN等の通信配線で接続し、図7に示すステップ12を
もとにステップ13を行う。ステップ12はエッチング
装置側の制御部で行ってもよいし、上記実施形態のよう
に塗布現像処理装置側で行うようにしてもよい。更に
は、別途算出部、記憶部、解析部等を備えた制御装置を
設けるようにしてもよい。また、エッチング装置は、図
1に示すカセットステーション10の背面側に配設し、
ウェハ搬送体22によりウェハをこのエッチング装置に
対して搬入出させるようにしてもよい。
Further, in the above embodiment, the etching device 50 and the coating and developing treatment device 1 are integrally provided to be in-line, but the etching device is made to be a stand-alone device.
The wafer may be carried by a worker. In this case, the etching device 50 and the coating and developing treatment device 1 are set to L
Connection is made with communication wiring such as AN, and step 13 is performed based on step 12 shown in FIG. Step 12 may be performed by the controller on the side of the etching apparatus, or may be performed by the side of the coating and developing treatment apparatus as in the above embodiment. Further, a control device including a calculation unit, a storage unit, an analysis unit, etc. may be provided separately. Further, the etching device is arranged on the back side of the cassette station 10 shown in FIG.
The wafer may be loaded into and unloaded from the etching apparatus by the wafer carrier 22.

【0070】また、上記実施形態では、パターンの線幅
のみについて説明したが、これに限らず、パターン間の
ピッチ、高さ、サイドウォールの角度等についても光学
観察装置を用いて測定することが可能である。
Further, in the above embodiment, only the line width of the pattern has been described, but the present invention is not limited to this, and the pitch between patterns, the height, the angle of sidewalls, etc. can also be measured using an optical observation device. It is possible.

【0071】更に、上記実施形態では半導体ウェハを用
いた場合について説明したが、これに限らず液晶ディス
プレイ等に使用されるガラス基板についても本発明は適
用可能である。
Further, in the above embodiment, the case where the semiconductor wafer is used has been described, but the present invention is not limited to this and the present invention can be applied to a glass substrate used for a liquid crystal display or the like.

【0072】[0072]

【発明の効果】以上説明したように、本発明によれば、
フォトリソグラフィ工程で実際に形成されたレジストパ
ターンの線幅等を精密に測定でき、しかもエッチング処
理において最終的に所望の回路パターンを形成すること
ができる。これにより、歩留まりの向上に寄与する。
As described above, according to the present invention,
The line width and the like of the resist pattern actually formed in the photolithography process can be accurately measured, and a desired circuit pattern can be finally formed in the etching process. This contributes to improvement in yield.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の一実施形態に係る塗布現像処理装置の
平面図である。
FIG. 1 is a plan view of a coating and developing treatment apparatus according to an embodiment of the present invention.

【図2】図1に示す塗布現像処理装置の正面図である。FIG. 2 is a front view of the coating and developing treatment apparatus shown in FIG.

【図3】図1に示す塗布現像処理装置の背面図である。FIG. 3 is a rear view of the coating and developing treatment apparatus shown in FIG.

【図4】一実施形態に係る主ウェハ搬送装置を示す斜視
図である。
FIG. 4 is a perspective view showing a main wafer transfer device according to one embodiment.

【図5】一実施形態に係るエッチング装置の断面図であ
る。
FIG. 5 is a sectional view of an etching apparatus according to an embodiment.

【図6】一実施形態に係る光学観察装置の構成図であ
る。
FIG. 6 is a configuration diagram of an optical observation apparatus according to an embodiment.

【図7】塗布現像処理装置における一連の処理工程を示
すフロー図である。
FIG. 7 is a flowchart showing a series of processing steps in a coating and developing processing apparatus.

【図8】エッチング時間と線幅との関係を示す図であ
る。
FIG. 8 is a diagram showing a relationship between etching time and line width.

【図9】エッチングパワーと線幅との関係を示す図であ
る。
FIG. 9 is a diagram showing a relationship between etching power and line width.

【図10】本発明の第2の実施形態に係る塗布現像処理
装置及びエッチング装置等の平面図である。
FIG. 10 is a plan view of a coating and developing treatment apparatus, an etching apparatus and the like according to a second embodiment of the present invention.

【図11】第2の実施形態に係る一連の処理工程を示す
フロー図である。
FIG. 11 is a flowchart showing a series of processing steps according to the second embodiment.

【図12】チップ上にレジストパターンが形成されたウ
ェハを示す平面図である。
FIG. 12 is a plan view showing a wafer having a resist pattern formed on a chip.

【図13】図12に示すチップの拡大平面図である。13 is an enlarged plan view of the chip shown in FIG.

【図14】光学観察装置及び電子顕微鏡装置でそれぞれ
測定された各線幅を示す図である。
FIG. 14 is a diagram showing line widths measured by an optical observation device and an electron microscope device, respectively.

【符号の説明】[Explanation of symbols]

W...半導体ウェハ P…グレーティングパターン Q…レジストパターン 線幅…x,y,a,b f,f,f…相関関係 1…塗布現像処理装置 40…光学観察装置 40A…第1の光学観察装置 40B…第2の光学観察装置 50…エッチング装置 60…制御部 70…電子顕微鏡装置W ... Semiconductor wafer P ... Grating pattern Q ... Resist pattern line width ... x, y, a, b f 1 , f 2 , f 3 ... Correlation 1 ... Coating and developing treatment apparatus 40 ... Optical observation apparatus 40A ... First Optical observation device 40B ... Second optical observation device 50 ... Etching device 60 ... Control unit 70 ... Electron microscope device

───────────────────────────────────────────────────── フロントページの続き (72)発明者 岩永 修児 東京都港区赤坂五丁目3番6号 TBS放 送センター 東京エレクトロン株式会社内 (72)発明者 田中 道夫 東京都港区赤坂五丁目3番6号 TBS放 送センター 東京エレクトロン株式会社内 Fターム(参考) 5F004 BB01 CA03 CA08 CB02 CB05 CB09    ─────────────────────────────────────────────────── ─── Continued front page    (72) Inventor Shuji Iwanaga             TBS release, 5-3-6 Akasaka, Minato-ku, Tokyo             Sending Center Tokyo Electron Limited (72) Inventor Michio Tanaka             TBS release, 5-3-6 Akasaka, Minato-ku, Tokyo             Sending Center Tokyo Electron Limited F term (reference) 5F004 BB01 CA03 CA08 CB02 CB05                       CB09

Claims (16)

【特許請求の範囲】[Claims] 【請求項1】 基板上にレジストパターンを形成した後
エッチング処理を行うことにより、所望の回路パターン
を形成する基板処理方法において、 (a)前記レジストパターンを認識する工程と、 (b)前記認識結果に基づき前記エッチングの処理条件
を制御する工程とを具備することを特徴とする基板処理
方法。
1. A substrate processing method for forming a desired circuit pattern by performing an etching process after forming a resist pattern on a substrate, comprising: (a) recognizing the resist pattern; and (b) recognizing the resist pattern. Controlling the processing conditions of the etching based on the result.
【請求項2】 請求項1に記載の基板処理方法におい
て、 前記エッチングの処理条件は、 エッチング時間、エッチングガス組成比及びエッチング
パワーのうち少なくとも1つを含むことを特徴とする基
板処理方法。
2. The substrate processing method according to claim 1, wherein the etching processing conditions include at least one of an etching time, an etching gas composition ratio, and an etching power.
【請求項3】 請求項1に記載の基板処理方法におい
て、 前記工程(a)は、光学的観察装置を用いることを特徴
とする基板処理方法。
3. The substrate processing method according to claim 1, wherein the step (a) uses an optical observation device.
【請求項4】 請求項3に記載の基板処理方法におい
て、 前記工程(a)は、 (c)前記基板上の製品領域以外の領域に形成されたグ
レーティングパターンを前記光学的観察装置で認識する
工程と、 (d)前記グレーティングパターンと前記製品領域に形
成されたレジストパターンとをそれぞれ電子顕微鏡で認
識し、これらグレーティングパターンとレジストパター
ンとの相関を求める工程と、 (e)前記工程(c)と工程(d)で認識されたグレー
ティングパターン同士の相関を求める工程と、 (f)前記工程(d)及び(e)で求められた各相関に
基づき前記レジストパターンを認識する工程とを具備す
ることを特徴とする基板処理方法。
4. The substrate processing method according to claim 3, wherein in the step (a), (c) the grating pattern formed in a region other than the product region on the substrate is recognized by the optical observation device. And (d) a step of recognizing the grating pattern and the resist pattern formed in the product region with an electron microscope to obtain a correlation between the grating pattern and the resist pattern, and (e) the step (c) And a step of obtaining a correlation between the grating patterns recognized in the step (d), and (f) a step of recognizing the resist pattern based on the respective correlations obtained in the steps (d) and (e). A substrate processing method characterized by the above.
【請求項5】 基板上にレジストパターンを形成した後
エッチング処理を行うことにより、所望の回路パターン
を形成する基板処理方法において、 (a)前記レジストパターンを第1の光学的観察装置で
認識する工程と、 (b)前記認識結果に基づき前記エッチングの処理条件
を制御する工程と、 (c)前記制御の下でエッチング処理された基板上の回
路パターンを第2の光学的観察装置で認識する工程とを
具備することを特徴とする基板処理方法。
5. A substrate processing method for forming a desired circuit pattern by forming a resist pattern on a substrate and then performing an etching process, comprising: (a) recognizing the resist pattern by a first optical observation device. And (b) controlling the etching processing conditions based on the recognition result, and (c) recognizing the circuit pattern on the substrate that has been etched under the control with the second optical observation device. A substrate processing method comprising the steps of:
【請求項6】 請求項5に記載の基板処理方法におい
て、 前記エッチングの処理条件は、 エッチング時間、エッチングガス組成比及びエッチング
パワーのうち少なくとも1つを含む
6. The substrate processing method according to claim 5, wherein the etching processing conditions include at least one of etching time, etching gas composition ratio, and etching power.
【請求項7】 請求項5又は請求項6に記載の基板処理
方法において、 前記工程(a)は、 (c)前記基板上の製品領域以外の領域に形成されたグ
レーティングパターンを前記第1の光学的観察装置で認
識する工程と、 (d)前記グレーティングパターンと前記製品領域に形
成されたレジストパターンとをそれぞれ電子顕微鏡で認
識し、これらグレーティングパターンとレジストパター
ンとの相関を求める工程と、 (e)前記工程(c)と工程(d)で認識されたグレー
ティングパターン同士の相関を求める工程と、 (f)前記工程(d)及び(e)で求められた各相関に
基づき前記レジストパターンを認識する工程とを具備す
ることを特徴とする基板処理方法。
7. The substrate processing method according to claim 5, wherein in the step (a), (c) the grating pattern formed in a region other than a product region on the substrate is formed into the first pattern. A step of recognizing with an optical observation device, and (d) a step of recognizing the grating pattern and the resist pattern formed in the product region with an electron microscope and obtaining a correlation between the grating pattern and the resist pattern. e) the step of obtaining the correlation between the grating patterns recognized in the step (c) and the step (d), and (f) the resist pattern based on the correlations obtained in the steps (d) and (e). And a step of recognizing the substrate.
【請求項8】 請求項5又は請求項6に記載の基板処理
方法において、 前記第1の光学的観察装置で認識された基板のデータを
集積してデータベースを作成し、このデータベースを前
記第2の光学的観察装置で認識された基板のデータを解
析する際に利用する工程を更に具備することを特徴とす
る基板処理方法。
8. The substrate processing method according to claim 5 or 6, wherein data of the substrates recognized by the first optical observation device is accumulated to create a database, and the database is used as the second database. The method for processing a substrate, further comprising the step of utilizing the data of the substrate recognized by the optical observation apparatus of 1.
【請求項9】 請求項8に記載の基板処理方法におい
て、 前記工程(c)は、 前記第1の光学的観察装置で認識されたグレーティング
パターンのデータベースに基づき、前記エッチング処理
後の回路パターンを認識する工程を含むことを特徴とす
る基板処理方法。
9. The substrate processing method according to claim 8, wherein in the step (c), the circuit pattern after the etching processing is performed based on a database of grating patterns recognized by the first optical observation apparatus. A substrate processing method comprising a step of recognizing.
【請求項10】 基板上にレジストパターンを形成し該
基板をエッチング装置に受け渡して所望の回路パターン
を形成する基板処理装置において、 前記レジストパターンを認識する認識手段と、 前記認識結果に基づき前記エッチングの処理条件を制御
する手段とを具備することを特徴とする基板処理装置。
10. A substrate processing apparatus for forming a resist pattern on a substrate and transferring the substrate to an etching apparatus to form a desired circuit pattern, a recognition means for recognizing the resist pattern, and the etching based on the recognition result. And a means for controlling the processing conditions of 1.
【請求項11】 請求項10に記載の基板処理装置にお
いて、 前記エッチングの処理条件は、 エッチング時間、エッチングガス組成比及びエッチング
パワーのうち少なくとも1つを含むことを特徴とする基
板処理装置。
11. The substrate processing apparatus according to claim 10, wherein the etching processing conditions include at least one of an etching time, an etching gas composition ratio, and an etching power.
【請求項12】 請求項10に記載の基板処理装置にお
いて、 前記認識手段は光学的観察装置を含むことを特徴とする
基板処理装置。
12. The substrate processing apparatus according to claim 10, wherein the recognition unit includes an optical observation device.
【請求項13】 請求項12に記載の基板処理装置にお
いて、 前記認識手段は、 (a)前記基板上の製品領域以外の領域に形成されたグ
レーティングパターンを前記光学的観察装置で認識した
上で、前記グレーティングパターンと前記製品領域に形
成されたレジストパターンとをそれぞれ電子顕微鏡で認
識し、これらグレーティングパターンとレジストパター
ンとの相関を求める手段と、 (b)前記光学的観察装置及び電子顕微鏡でそれぞれ認
識されたグレーティングパターン同士の相関を求める手
段と、 (c)前記手段(a)及び手段(b)で求められた各相
関に基づき前記レジストパターンを認識する手段とを具
備することを特徴とする基板処理装置。
13. The substrate processing apparatus according to claim 12, wherein the recognizing unit recognizes (a) the grating pattern formed in a region other than the product region on the substrate by the optical observation device. A means for recognizing the grating pattern and the resist pattern formed in the product area with an electron microscope, and obtaining a correlation between the grating pattern and the resist pattern, and (b) using the optical observation device and the electron microscope, respectively. It is characterized by comprising means for obtaining the correlation between the recognized grating patterns, and (c) means for recognizing the resist pattern based on the respective correlations obtained by the means (a) and the means (b). Substrate processing equipment.
【請求項14】 基板上にレジストパターンを形成し該
基板をエッチング装置に受け渡して所望の回路パターン
を形成する基板処理装置において、 前記レジストパターンを認識する第1の光学的観察装置
と、 前記認識結果に基づき前記エッチングの処理条件を制御
する手段と、 前記制御の下でエッチング処理された基板上の回路パタ
ーンを認識する第2の光学的観察装置とを具備すること
を特徴とする基板処理装置。
14. A substrate processing apparatus for forming a resist pattern on a substrate and transferring the substrate to an etching apparatus to form a desired circuit pattern, comprising: a first optical observation apparatus for recognizing the resist pattern; A substrate processing apparatus comprising: a means for controlling the etching processing conditions based on a result; and a second optical observation apparatus for recognizing a circuit pattern on the substrate that has been etched under the control. .
【請求項15】 請求項13に記載の基板処理装置にお
いて、 前記エッチングの処理条件は、 エッチング時間、エッチングガス組成比及びエッチング
パワーのうち少なくとも1つを含むことを特徴とする基
板処理装置。
15. The substrate processing apparatus according to claim 13, wherein the etching processing conditions include at least one of an etching time, an etching gas composition ratio, and an etching power.
【請求項16】 請求項14又は請求項15に記載の基
板処理装置において、 前記第1の光学的観察装置で認識された基板のデータを
集積してデータベースを作成し、このデータベースを前
記第2の光学的観察装置で認識された基板のデータを解
析する際に利用する手段を更に具備することを特徴とす
る基板処理装置。
16. The substrate processing apparatus according to claim 14 or 15, wherein data of substrates recognized by the first optical observation apparatus is accumulated to create a database, and the database is used as the second database. The substrate processing apparatus further comprising means used when analyzing the data of the substrate recognized by the optical observation apparatus.
JP2002006279A 2002-01-15 2002-01-15 Substrate processing method and substrate processing apparatus Expired - Fee Related JP3599330B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002006279A JP3599330B2 (en) 2002-01-15 2002-01-15 Substrate processing method and substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002006279A JP3599330B2 (en) 2002-01-15 2002-01-15 Substrate processing method and substrate processing apparatus

Publications (2)

Publication Number Publication Date
JP2003209093A true JP2003209093A (en) 2003-07-25
JP3599330B2 JP3599330B2 (en) 2004-12-08

Family

ID=27645093

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002006279A Expired - Fee Related JP3599330B2 (en) 2002-01-15 2002-01-15 Substrate processing method and substrate processing apparatus

Country Status (1)

Country Link
JP (1) JP3599330B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7375831B2 (en) 2003-12-24 2008-05-20 Tokyo Electron Limited Line width measuring method, substrate processing method, substrate processing apparatus and substrate cooling processing unit
US7822574B2 (en) 2006-10-12 2010-10-26 Tokyo Electron Limited Substrate measuring method, computer-readable recording medium recording program thereon, and substrate processing system
US7897897B2 (en) 2006-11-07 2011-03-01 Tokyo Electron Limited Temperature setting method of thermal processing plate, computer-readable recording medium recording program thereon, and temperature setting apparatus for thermal processing plate
US7897896B2 (en) 2006-10-26 2011-03-01 Tokyo Electron Limited Temperature setting method of thermal processing plate, computer-readable recording medium recording program thereon, and temperature setting apparatus for thermal processing plate
JP2011086965A (en) * 2003-06-20 2011-04-28 Tokyo Electron Ltd Processing method and processing system
US7968825B2 (en) 2006-11-02 2011-06-28 Tokyo Electron Limited Temperature setting method of thermal processing plate, computer-readable recording medium recording program thereon, and temperature setting apparatus for thermal processing plate
US8041525B2 (en) 2006-09-25 2011-10-18 Tokyo Electron Limited Substrate measuring method, computer-readable recording medium recording program thereon, and substrate measuring system
US8377721B2 (en) 2007-07-12 2013-02-19 Tokyo Electron Limited Substrate processing system and method
JP2013080831A (en) * 2011-10-04 2013-05-02 Sumitomo Electric Device Innovations Inc Semiconductor laser element manufacturing method

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011086965A (en) * 2003-06-20 2011-04-28 Tokyo Electron Ltd Processing method and processing system
US7375831B2 (en) 2003-12-24 2008-05-20 Tokyo Electron Limited Line width measuring method, substrate processing method, substrate processing apparatus and substrate cooling processing unit
US8041525B2 (en) 2006-09-25 2011-10-18 Tokyo Electron Limited Substrate measuring method, computer-readable recording medium recording program thereon, and substrate measuring system
US7822574B2 (en) 2006-10-12 2010-10-26 Tokyo Electron Limited Substrate measuring method, computer-readable recording medium recording program thereon, and substrate processing system
US7897896B2 (en) 2006-10-26 2011-03-01 Tokyo Electron Limited Temperature setting method of thermal processing plate, computer-readable recording medium recording program thereon, and temperature setting apparatus for thermal processing plate
US7968825B2 (en) 2006-11-02 2011-06-28 Tokyo Electron Limited Temperature setting method of thermal processing plate, computer-readable recording medium recording program thereon, and temperature setting apparatus for thermal processing plate
US7897897B2 (en) 2006-11-07 2011-03-01 Tokyo Electron Limited Temperature setting method of thermal processing plate, computer-readable recording medium recording program thereon, and temperature setting apparatus for thermal processing plate
US8377721B2 (en) 2007-07-12 2013-02-19 Tokyo Electron Limited Substrate processing system and method
JP2013080831A (en) * 2011-10-04 2013-05-02 Sumitomo Electric Device Innovations Inc Semiconductor laser element manufacturing method

Also Published As

Publication number Publication date
JP3599330B2 (en) 2004-12-08

Similar Documents

Publication Publication Date Title
US8408158B2 (en) Coating/developing device and method
US20050037272A1 (en) Method and apparatus for manufacturing semiconductor
US20100073647A1 (en) Coating film forming apparatus and coating film forming method for immersion light exposure
JP2002260994A (en) Substrate treating device
WO2007094443A1 (en) Adjusting method, substrate treating method, substrate treating device, exposure device, inspection device, measurement inspection system, treating device, computer system, program, and information recording medium
JP2008198820A (en) Substrate processing method and substrate processing apparatus
JP3599330B2 (en) Substrate processing method and substrate processing apparatus
JP4090986B2 (en) Line width measuring method, substrate processing method, and substrate processing apparatus
JP2022552961A (en) Systems and methods for monitoring one or more properties of a substrate
US7525650B2 (en) Substrate processing apparatus for performing photolithography
JP4666380B2 (en) Substrate processing apparatus, substrate processing method, substrate processing program, and computer-readable recording medium recording the program
US6457882B2 (en) Substrate processing method and substrate processing apparatus
JP2003203837A (en) Method and apparatus for treating substrate
US6657215B2 (en) Apparatus for determining exposure conditions, method for determining exposure conditions and process apparatus
US7128481B2 (en) Substrate processing apparatus for inspecting processing history data
JP2007088485A (en) Device and method for treating substrate
JP2003209050A (en) Substrate treatment method and substrate treatment apparatus
JP2002093697A (en) Device and method for setting exposure conditions, and processor
JP3481499B2 (en) Resist processing method and resist processing apparatus
US20090191723A1 (en) Method of performing lithographic processes
US8377721B2 (en) Substrate processing system and method
JP2003273009A (en) Substrate-processing method and substrate-processing apparatus
JP2003218015A (en) Substrate processing device
JP2004014670A (en) Substrate processing apparatus and method therefor
JP3878907B2 (en) Substrate processing apparatus and substrate processing method

Legal Events

Date Code Title Description
A977 Report on retrieval

Effective date: 20040610

Free format text: JAPANESE INTERMEDIATE CODE: A971007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040622

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040811

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040907

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040913

R150 Certificate of patent (=grant) or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100924

Year of fee payment: 6

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 9

Free format text: PAYMENT UNTIL: 20130924

LAPS Cancellation because of no payment of annual fees