JP2003155365A - Processing method and formed body - Google Patents

Processing method and formed body

Info

Publication number
JP2003155365A
JP2003155365A JP2001358296A JP2001358296A JP2003155365A JP 2003155365 A JP2003155365 A JP 2003155365A JP 2001358296 A JP2001358296 A JP 2001358296A JP 2001358296 A JP2001358296 A JP 2001358296A JP 2003155365 A JP2003155365 A JP 2003155365A
Authority
JP
Japan
Prior art keywords
block copolymer
layer
pattern
mask
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001358296A
Other languages
Japanese (ja)
Other versions
JP3967114B2 (en
Inventor
Yasuyuki Hieda
泰之 稗田
Kouji Asakawa
鋼児 浅川
Toshiro Hiraoka
俊郎 平岡
Katsuyuki Naito
勝之 内藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2001358296A priority Critical patent/JP3967114B2/en
Publication of JP2003155365A publication Critical patent/JP2003155365A/en
Application granted granted Critical
Publication of JP3967114B2 publication Critical patent/JP3967114B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a processing method having sufficient etching resistance and capable of closely transferring a formed pattern formed by self-organization and obtain a formed body in a fine processing technology using an etching mask formed by self-organization of a block copolymer. SOLUTION: This processing method comprises a process for forming a block copolymer layer, having a sequential structure in which a first polymer phase (20A) and a second polymer phase (20B) are almost regularly arranged on a material (10) to be processed, a process for forming a concave part (R) on the surface of the block copolymer by selectively removing the first polymer phase, a process for making a masking layer (30) on the concave part and a process for forming a pattern corresponding to the sequential structure of the block copolymer on the material to be processed by etching the block copolymer layer and the material to be processed by using the masking layer as a mask.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、加工方法及び成形
体に関し、特に、周期的な配列構造を有するパターンを
微細加工する加工方法であって、ブロックコポリマーの
自己組織的な相分離構造を利用する加工方法及び成形体
に関する。本発明の加工方法及び成形体は、例えば、高
密度記録媒体や高集積化電子部品などの製造に用いるこ
とができる。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a processing method and a molded body, and more particularly to a processing method for finely processing a pattern having a periodic array structure, which utilizes a self-organized phase-separated structure of a block copolymer. The present invention relates to a processing method and a molded product. INDUSTRIAL APPLICABILITY The processing method and the molded product of the present invention can be used, for example, in the manufacture of high-density recording media, highly integrated electronic components, and the like.

【0002】[0002]

【従来の技術】昨今のパソコンなどの情報機器の飛躍的
な機能向上は、半導体装置の製造などに用いられる微細
加工技術の進歩によるところが非常に大きい。これま
で、加工寸法の微細化は、リソグラフィーに用いられる
露光光源の短波長化より進められてきた。しかし、加工
寸法が微細化し、パターンが高密度化するほど、製造工
程におけるリソグラフィーのコストは膨大になってきて
いる。次世代の半導体装置、あるいはパターンド・メデ
ィア(patterned media)などの微細加工を施した高密
度記録媒体においてはパターンの寸法を100nm以下
にまで微細化することが要求されている。このための露
光光源としては、電子線などが用いられるようになると
考えられるが、加工のスループットの点で非常に大きな
課題が残されている。
2. Description of the Related Art The dramatic improvement in functions of information devices such as personal computers in recent years is very largely due to the progress of fine processing technology used for manufacturing semiconductor devices. Until now, the miniaturization of processing dimensions has been promoted by the shortening of the wavelength of the exposure light source used for lithography. However, as the processing size becomes finer and the pattern becomes denser, the cost of lithography in the manufacturing process becomes enormous. In a next-generation semiconductor device or a finely processed high-density recording medium such as a patterned media, it is required to miniaturize a pattern dimension to 100 nm or less. An electron beam or the like is considered to be used as an exposure light source for this purpose, but a very large problem remains in terms of processing throughput.

【0003】このような状況を背景として、より安価
で、しかも高いスループットを実現できる加工方法とし
て、材料が自己組織的に特定の規則配列パターンを形成
する現象を利用する方法が注目を集めている。その中で
も特に、「ブロックコポリマー」を利用する方法は、適
当な溶媒に溶かして被加工体上に塗布するだけの非常に
簡便に単層の規則配列したパターンを形成することが可
能であり、微細加工方法としての応用も報告されている
(たとえば、P.Manskyら; Appl. Phys.Lett.,v
ol.68、p.2586、M.Parkら; Science,vol.276、
p.1401)。
Against this background, a method of utilizing the phenomenon of a material self-organizing to form a specific ordered array pattern has attracted attention as a processing method that is cheaper and can realize high throughput. . Among them, in particular, the method using the “block copolymer” is capable of forming a monolayer regularly arranged pattern by simply dissolving it in a suitable solvent and coating it on the object to be processed. Application as a processing method has also been reported (for example, P. Mansky et al .; Appl. Phys. Lett., V.
ol.68, p.2586, M.I. Park et al .; Science, vol.276,
p.1401).

【0004】これらの方法では、ブロックコポリマーの
相分離構造の一つのポリマー相をオゾン処理、プラズマ
エッチング、電子線照射などにより除去して凹凸状のパ
ターンを形成し、この凹凸状パターンをマスクとして下
地基板の加工を行う。
In these methods, one polymer phase of the phase-separated structure of the block copolymer is removed by ozone treatment, plasma etching, electron beam irradiation or the like to form an uneven pattern, and the uneven pattern is used as a mask to form a base. The substrate is processed.

【0005】しかし、一般的にブロックコポリマーの相
分離構造の膜厚方向のサイズは基板上に2次元方向に形
成されるパターンのサイズと同じ程度かそれ以下しかな
いため、マスクとして形成されるパターンのエッチング
耐性を十分稼ぐことができない。したがって、このよう
なブロックコポリマーマスクの相分離構造をそのままエ
ッチングマスクとして用いて被加工体をエッチングした
場合、十分アスペクト比の高い構造を加工することがで
きない。
However, since the size of the phase-separated structure of the block copolymer in the film thickness direction is generally equal to or smaller than the size of the pattern formed in the two-dimensional direction on the substrate, the pattern formed as a mask. It is not possible to obtain sufficient etching resistance. Therefore, when the object to be processed is etched by using the phase-separated structure of the block copolymer mask as it is as an etching mask, a structure having a sufficiently high aspect ratio cannot be processed.

【0006】このような問題を解決するために、ブロッ
クコポリマーの自己組織化パターンをブロックコポリマ
ーの下に設けたパターントランスファー膜にプラズマエ
ッチングなどによって一旦転写し、そのパターントラン
スファー膜をエッチングマスクとしてさらに下の厚膜の
レジスト膜を酸素プラズマによりエッチングすることに
より、下地のレジスト膜に高いアスペクト比のパターン
を転写する方法も提案されている(特開2001−15
1834号公報、M.Parkら Appl.Phys.Lett.vo
l.79 p.257)。
In order to solve such a problem, the self-assembled pattern of the block copolymer is once transferred to a pattern transfer film provided under the block copolymer by plasma etching or the like, and the pattern transfer film is further used as an etching mask. There is also proposed a method of transferring a pattern having a high aspect ratio to the underlying resist film by etching the thick resist film of the above with oxygen plasma (Japanese Patent Laid-Open No. 2001-15).
1834, M.P. Park et al. Appl.Phys.Lett.vo
l.79 p.257).

【0007】しかし、この方法でも、ブロックコポリマ
ーからパターントランスファー膜への転写の際には高い
アスペクト比が得られないことが原因で、パターンを忠
実に転写することが難しい場合がある。エッチングのア
スペクト比が十分でないということはブロックコポリマ
ー膜には膜厚分布や自己組織化パターンの微小なムラが
パターントランスファー膜へのエッチング深さのばらつ
きとして強調されてパターントランスファー膜に転写さ
れることになる。これは、極端な場合には下地のレジス
ト膜でのパターンの一部消失にもなりうる。
However, even with this method, it may be difficult to faithfully transfer the pattern because a high aspect ratio cannot be obtained when transferring from the block copolymer to the pattern transfer film. Insufficient etching aspect ratio means that minute unevenness of film thickness distribution or self-assembled pattern is emphasized as variation of etching depth in the pattern transfer film and transferred to the pattern transfer film in the block copolymer film. become. In an extreme case, this may also cause a part of the pattern on the underlying resist film to disappear.

【0008】一方、自己組織化を利用する場合は、その
規則配列の配列方向を制御することも重要である。磁気
記録媒体の高密度化を実現するものとして期待されてい
るパターンド・メディアの場合、再生や記録に際して
は、パターニングされた磁性体粒子の一つ一つにアクセ
スする必要がある。この場合、再生ヘッドを記録列にト
ラッキングするためには、磁性体粒子の配列を一方向に
揃える必要がある。
On the other hand, when utilizing self-organization, it is also important to control the arrangement direction of the regular arrangement. In the case of patterned media, which is expected to realize high density of magnetic recording media, it is necessary to access each of the patterned magnetic particles during reproduction and recording. In this case, in order to track the reproducing head to the recording row, it is necessary to arrange the magnetic particles in one direction.

【0009】さらに、単一電子などを情報として扱う量
子効果デバイスのような電子素子は、現在の半導体デバ
イスをより高密度化および低消費電力化する可能性を有
するものとして期待されている。この場合も、量子効果
を発現する構造に対して、信号検出のための電極を配置
する必要がある 従って、量子効果を発現する微細構造
は、所定の配列を有すると同時に、形成されている領域
も任意に制御できることが必要とされる。
Further, an electronic element such as a quantum effect device that handles a single electron as information is expected to have a possibility of further increasing the density and power consumption of a current semiconductor device. Also in this case, it is necessary to dispose electrodes for signal detection with respect to the structure that exhibits the quantum effect. Therefore, the fine structure that exhibits the quantum effect has a predetermined arrangement and, at the same time, has a region formed. Is also required to be controlled arbitrarily.

【0010】ブロックコポリマーの自己組織化の配列方
向を制御するために、基板上に溝構造を形成しておきそ
れをガイドにして粒子の配列方向を揃える方法が提案さ
れている(R.A. Segalmanら; Bulletti
n of the American PhysicalSociety Vol.45 No.1 p.55
9、同 vol.46 No.1 p.1000、M.Trawickら;
同 vol.46 No.1 p.1000)。これらの方法では、ジブロ
ックコポリマーの配列方向を揃えることは可能である
が、前述したとおり、やはり、ジブロックブロックの相
分離構造のアスペクト比自体は低いためエッチングによ
り十分アスペクト比の高いパターンを形成することは不
可能である。また、ジブロックコポリマーをある任意の
領域にのみ堆積させる方法は今のところない。
In order to control the alignment direction of the self-assembly of the block copolymer, a method has been proposed in which a groove structure is formed on a substrate and the alignment direction of particles is aligned with the groove structure as a guide (RA. Segalman et al .; Bulletti
n of the American Physical Society Vol.45 No.1 p.55
9, same vol.46 No.1 p.1000, M.K. Trawick et al .;
The same vol.46 No.1 p.1000). Although it is possible to arrange the diblock copolymers in the same alignment direction by these methods, as described above, the aspect ratio of the phase separation structure of the diblock block itself is low, so that a pattern with a sufficiently high aspect ratio is formed by etching. It is impossible to do. Also, there is currently no method for depositing diblock copolymers only in any given area.

【0011】[0011]

【本発明が解決しようとする課題】本発明は、かかる課
題の認識に基づいてなされたものであり、その目的は、
ブロックコポリマーの自己組織化より形成されるエッチ
ングマスクを用いた微細加工技術において、十分なエッ
チング耐性を有し、しかも自己組織化により形成される
パターンを忠実に転写することができる加工方法及び成
形体を提供することにある。
The present invention has been made on the basis of the recognition of the above problems, and its purpose is to:
In a fine processing technique using an etching mask formed by self-organization of a block copolymer, a processing method and a molded body having sufficient etching resistance and capable of faithfully transferring a pattern formed by self-assembly To provide.

【課題を解決するための手段】上記目的を達成するた
め、本発明の第1の加工方法は、第1のポリマー相と第
2のポリマー相とが略規則的に配列した配列構造を有す
るブロックコポリマー層を被加工体の上に形成する工程
と、前記第1のポリマー相を選択的に除去することによ
り前記ブロックコポリマー層の表面に凹部を形成する工
程と、前記凹部にマスク層を設ける工程と、前記マスク
層をマスクとして前記ブロックコポリマー層及び前記被
加工体をエッチングすることにより、前記ブロックコポ
リマー層の前記配列構造に対応したパターンを前記被加
工体に形成する工程と、を備えたことことを特徴とす
る。
In order to achieve the above object, a first processing method of the present invention is a block having an array structure in which a first polymer phase and a second polymer phase are arrayed in a substantially regular manner. Forming a copolymer layer on the object to be processed; forming a recess on the surface of the block copolymer layer by selectively removing the first polymer phase; and providing a mask layer in the recess. And a step of forming a pattern corresponding to the array structure of the block copolymer layer on the workpiece by etching the block copolymer layer and the workpiece with the mask layer as a mask. It is characterized by

【0012】ここで、前記被加工体は、前記ブロックコ
ポリマー層を形成する前の状態においてその表面に凹凸
パターンを有し、前記被加工体の上に形成された前記ブ
ロックコポリマー層の前記配列構造は、前記凹凸パター
ンに対応して配向してなるものとすれば、規則構造の配
向を積極的に制御することができる。
Here, the object to be processed has an uneven pattern on the surface before the block copolymer layer is formed, and the array structure of the block copolymer layers formed on the object to be processed. Can be positively controlled if it is oriented according to the concavo-convex pattern.

【0013】また、本発明の第2の加工方法は、被加工
体の上に転写層を形成する工程と、前記転写層の上に、
第1のポリマー相と第2のポリマー相とが略規則的に配
列した配列構造を有するブロックコポリマー層を形成す
る工程と、前記第1のポリマー相を選択的に除去するこ
とにより前記ブロックコポリマー層の表面に凹部を形成
する工程と、前記凹部にマスク層を設ける工程と、前記
マスク層をマスクとして前記ブロックコポリマー層及び
前記転写層をエッチングすることにより、前記ブロック
コポリマー層の前記配列構造に対応したパターンを前記
転写層に形成する工程と、前記転写層をマスクとして前
記被加工体をエッチングする工程と、を備えたことを特
徴とする。
Further, a second processing method of the present invention comprises a step of forming a transfer layer on the object to be processed, and a step of forming the transfer layer on the transfer layer.
A step of forming a block copolymer layer having an arrangement structure in which a first polymer phase and a second polymer phase are substantially regularly arranged, and the block copolymer layer by selectively removing the first polymer phase Corresponding to the arrangement structure of the block copolymer layer by forming a recess on the surface of the block, providing a mask layer in the recess, and etching the block copolymer layer and the transfer layer using the mask layer as a mask. And a step of etching the object to be processed by using the transfer layer as a mask.

【0014】ここでも、前記転写層は、前記ブロックコ
ポリマー層を形成する前の状態においてその表面に凹凸
パターンを有し、前記転写層の上に形成された前記ブロ
ックコポリマー層の前記配列構造は、前記凹凸パターン
に対応して配向してなるものとすれば、規則構造の配向
を積極的に制御することができる。
Here again, the transfer layer has a concavo-convex pattern on the surface before the block copolymer layer is formed, and the arrangement structure of the block copolymer layers formed on the transfer layer is: The orientation of the ordered structure can be positively controlled by providing the orientation corresponding to the concavo-convex pattern.

【0015】またさらに、上記のいずれかの加工方法に
おいて、前記凹部における前記マスク層の充填量を調節
することにより、前記配列構造に対応したパターンのサ
イズを制御することができる。
Furthermore, in any one of the above processing methods, the size of the pattern corresponding to the array structure can be controlled by adjusting the filling amount of the mask layer in the recess.

【0016】または、前記マスク材料をマスクとして実
施する前記エッチングにおいて、前記マスク材料に対す
るオーバーエッチング量を調節することにより、前記配
列構造に対応したパターンのサイズを制御することもで
きる。
Alternatively, in the etching performed using the mask material as a mask, the size of the pattern corresponding to the array structure can be controlled by adjusting the amount of overetching with respect to the mask material.

【0017】本発明の加工方法は、例えば、形成すべき
パターンサイズが100nm以下となるような場合に特
に有用であり、自己整合的な規則構造を利用し、且つ、
高いアスペクト比で被加工物のエッチングが可能であ
る。
The processing method of the present invention is particularly useful, for example, when the pattern size to be formed is 100 nm or less, uses a self-aligned ordered structure, and
The work piece can be etched with a high aspect ratio.

【0018】ここで、上記のマスク層のエッチング速度
は、ブロックコポリマー層のエッチング速度の1/2以
下であるとよい。
Here, the etching rate of the mask layer is preferably 1/2 or less of the etching rate of the block copolymer layer.

【0019】また、転写層としては、光硬化性や熱硬化
性の材料を用いることができる。またさらに、ブロック
コポリマー層の規則配列の配向を規定するための凹凸パ
ターンを被加工体あるいは転写層の表面に形成する場
合、その表面全面もしくは凸部上表面を、水との接触角
が40度以上に疎水化するとよい。
As the transfer layer, a photocurable or thermosetting material can be used. Furthermore, when an uneven pattern for defining the orientation of the regular arrangement of the block copolymer layer is formed on the surface of the object to be processed or the transfer layer, the entire surface or the upper surface of the convex portion has a contact angle with water of 40 degrees. It is preferable to make the above hydrophobic.

【0020】支持体の主面上に、前記支持体から連続的
に突出してなる複数のピラーが設けられた加工体であっ
て、一方、本発明の成形体は、前記複数のピラーのそれ
ぞれは略円柱状であり、且つ、前記支持体との結合部近
傍において、前記支持体に近づくにつれてその太さが太
くなる裾野部を有することを特徴とする。
A processed body is provided with a plurality of pillars continuously protruding from the support on the main surface of the support. On the other hand, in the molded article of the present invention, each of the plurality of pillars is formed. It is characterized in that it has a substantially columnar shape and has a skirt portion that becomes thicker as it gets closer to the support, in the vicinity of the joint with the support.

【0021】このような独特の形態を有する成形体は、
ピラーを極めて細長く形成したような場合にも、その裾
野部において強度が保持されるために、根本から折れる
という弊害を抑止できる点で有利である。
A molded product having such a unique shape is
Even when the pillar is formed to be extremely long and thin, the strength is maintained at the skirt portion thereof, which is advantageous in that it is possible to prevent the harmful effect of breaking from the root.

【発明の実施の形態】以下、図面を参照しつつ本発明の
実施の形態について説明する。
BEST MODE FOR CARRYING OUT THE INVENTION Embodiments of the present invention will be described below with reference to the drawings.

【0022】(第1の実施の形態)図1は、本発明の第
1の実施の形態にかかる加工方法を表す工程断面図であ
る。
(First Embodiment) FIG. 1 is a process sectional view showing a processing method according to a first embodiment of the present invention.

【0023】まず、同図(a)に表したように、被加工
体10の上に第1のレジスト層としてブロックコポリマ
ー膜20を形成する。ここで用いるブロックコポリマー
としては、例えば、2種類のポリマー鎖AとBとが結合
したA−B型の「ジブロックコポリマー」を挙げること
ができる。または、2種類のポリマー鎖がA−B−Aと
結合したり、3種類のポリマー鎖がA−B−Cと結合し
た「トリブロックコポリマー」を用いても良い。これら
のブロックコポリマーは、適当な温度でアニ−ル処理を
行うことによって、ポリマー相20Aとポリマー相20
Bとに相分離して規則配列構造を形成する。例えば、ポ
リマー相20Bをマトリックスとし、ポリマー相20B
中にポリマー相20Aが2次元的に規則配列した構造を
形成する。このような規則配列構造を構成するポリマー
相20A及び20Bの形状およびサイズは、ポリマー鎖
A、B及びCの長さに依存し、これらを調整することで
例えば100nm程度あるいはそれ以下の微細なサイズ
に制御することができる。
First, as shown in FIG. 1A, a block copolymer film 20 is formed as a first resist layer on the object 10 to be processed. Examples of the block copolymer used here include an AB type “diblock copolymer” in which two types of polymer chains A and B are bonded. Alternatively, a “triblock copolymer” in which two types of polymer chains are bound to ABA or three types of polymer chains are bound to ABC may be used. These block copolymers are subjected to an anneal treatment at an appropriate temperature to obtain a polymer phase 20A and a polymer phase 20A.
Phase-separated with B to form an ordered array structure. For example, using the polymer phase 20B as a matrix, the polymer phase 20B
A polymer phase 20A forms a structure in which two-dimensional ordered arrangement is formed therein. The shape and size of the polymer phases 20A and 20B constituting such an ordered array structure depend on the lengths of the polymer chains A, B and C, and by adjusting these, for example, a fine size of about 100 nm or less. Can be controlled.

【0024】このようにして形成されるブロックコポリ
マーの規則配列構造は、それ自体が規則配列した凹凸状
構造を有している場合もあるが、凹凸状構造を有しない
平坦な場合もある。本発明では、ブロックコポリマーの
相分離構造を凹凸状構造に変換する必要がある。ブロッ
クコポリマーの規則配列構造の表面が、図1(b)に表
したような凹凸状構造を有している場合にはそれをその
まま利用することができる。
The regularly-arranged structure of the block copolymer thus formed may have an uneven structure in which it is regularly arranged, but it may be flat without the uneven structure. In the present invention, it is necessary to convert the phase-separated structure of the block copolymer into an uneven structure. When the surface of the ordered array structure of the block copolymer has an uneven structure as shown in FIG. 1 (b), it can be used as it is.

【0025】一方、規則配列構造の表面が図1(a)に
表したように平坦である場合には、ブロックコポリマー
の少なくとも一つの種類のポリマー相20Aを選択的に
除去することにより、図1(b)に表したように、ポリ
マー相20Aの形状と同様の半球状の曲率を持った凹部
Rが規則的に配列した構造を形成する。
On the other hand, when the surface of the ordered array structure is flat as shown in FIG. 1A, at least one kind of the polymer phase 20A of the block copolymer is selectively removed, so that the structure shown in FIG. As shown in (b), a structure in which concave portions R having a hemispherical curvature similar to the shape of the polymer phase 20A are regularly arranged is formed.

【0026】ポリマー相20Aを選択的に除去するため
には、プラズマ、光、電子線などのエネルギー線や熱な
どを照射した場合に、それらに対する耐性の異なる2種
類以上のポリマー鎖によりブロックコポリマーを構成す
れば良い。
In order to selectively remove the polymer phase 20A, a block copolymer is formed by two or more kinds of polymer chains having different resistances when irradiated with energy rays such as plasma, light and electron rays, and heat. Just configure it.

【0027】例えば、モノマー単位のN/(Nc−N
o)の値(ここで、Nはモノマー単位の総原子数、Nc
はモノマー単位の炭素原子数、Noはモノマー単位の酸
素原子数である。)が小さい方が、各種プラズマ照射に
対する耐性が高い。この観点から、プラズマ耐性の大き
く異なる2種類以上のポリマー鎖を組み合わせても良
い。
For example, the monomer unit N / (Nc-N
value of o) (where N is the total number of atoms in the monomer unit, Nc
Is the number of carbon atoms in the monomer unit, and No is the number of oxygen atoms in the monomer unit. The smaller the value is, the higher the resistance to various plasma irradiations. From this viewpoint, two or more kinds of polymer chains having greatly different plasma resistance may be combined.

【0028】また、これらのエネルギー線の照射により
架橋反応などがおこり硬化するようなポリマー鎖を、エ
ネルギー線照射により硬化しないもしくは分解されるポ
リマー鎖と組み合わせたものを用いても良い。さらに、
親和性を考慮して、例えばポリマー鎖の親水性/疎水性
を変え、どちらか一方のポリマー鎖領域に架橋剤を偏析
させるようにしても良い。
Further, it is also possible to use a combination of a polymer chain which is cured by a cross-linking reaction or the like upon irradiation with energy rays with a polymer chain which is not cured or decomposed by irradiation with energy rays. further,
In consideration of the affinity, for example, the hydrophilicity / hydrophobicity of the polymer chain may be changed to segregate the cross-linking agent in either one of the polymer chain regions.

【0029】このようにして、サイズ100nm以下の
規則配列パターンの相分離構造を有するブロックコポリ
マー膜の少なくとも一つのポリマー相20Aを選択的に
除去することにより、凹部Rが規則的に配列した第1の
レジスト層(ポリマー相)20Bを形成することができ
る。
In this way, by selectively removing at least one polymer phase 20A of the block copolymer film having a phase-separated structure having a regular array pattern of 100 nm or less, the first recesses R are regularly arrayed. The resist layer (polymer phase) 20B can be formed.

【0030】次に、図1(c)に表したように、第1の
レジスト層20Bの上に、第2のレジスト層30を堆積
する。第2のレジスト層30の役割は、第1のレジスト
層20Bの凹部Rのパターンをエッチング耐性の高い材
料に転写することにある。
Next, as shown in FIG. 1C, a second resist layer 30 is deposited on the first resist layer 20B. The role of the second resist layer 30 is to transfer the pattern of the recess R of the first resist layer 20B to a material having high etching resistance.

【0031】第2のレジスト層30の材料として、金
属、金属酸化物、金属窒化物、カーバイトなど無機材料
を用いることにより、プラズマエッチングに対するエッ
チング耐性の高いマスクパターンを形成することができ
る。また、芳香環含有低分子有機材料を用いても良い。
さらに、芳香環含有低分子有機材料に金属が含まれてい
る材料を用いても良い。またさらに、ポリシランやポリ
シロキサンなどのSi(シリコン)等の金属含有ポリマ
ーを用いても良い。
By using an inorganic material such as metal, metal oxide, metal nitride, or carbide as the material of the second resist layer 30, a mask pattern having high etching resistance against plasma etching can be formed. Alternatively, an aromatic ring-containing low molecular weight organic material may be used.
Further, a material containing a metal in the aromatic ring-containing low molecular weight organic material may be used. Furthermore, a metal-containing polymer such as Si (silicon) such as polysilane or polysiloxane may be used.

【0032】無機材料もしくは低分子有機材料を第2の
レジスト層30として用いる場合、第1のレジスト層2
0Bの上に堆積させるために、真空蒸着の方法を用いる
ことができる。蒸着時に試料を加熱することにより、選
択的に第1のレジスト層20Bの凹部Rにおいて核成長
を起こし、無機材料を選択的に凹部Rに堆積することが
可能である。このようにして、第2のレジスト層30を
第1のレジスト層20Bの凹部を埋め込むように形成で
きる。
When an inorganic material or a low molecular weight organic material is used as the second resist layer 30, the first resist layer 2
A method of vacuum deposition can be used to deposit on OB. By heating the sample during vapor deposition, it is possible to selectively cause the nucleus growth in the recess R of the first resist layer 20B and selectively deposit the inorganic material in the recess R. In this way, the second resist layer 30 can be formed so as to fill the concave portion of the first resist layer 20B.

【0033】またさらに、真空蒸着した後にアニ−ル処
理することにより、堆積した第2のレジスト層30を第
1のレジスト層20Bの凹部Rに埋め込ませ、その表面
を平坦化させることも可能である。
Furthermore, it is possible to bury the deposited second resist layer 30 in the recess R of the first resist layer 20B by planarizing the surface thereof by performing an annealing treatment after vacuum vapor deposition. is there.

【0034】金属含有ポリマーなどポリマー材料を第2
のレジスト層30の材料として用いる場合、第1のレジ
スト層20Bの上に塗布する際には、適当な溶媒に溶か
してスピンコートすると良い。スピンコート直後にポリ
マー材料からなる第2のレジスト層30は、表面張力に
より第1のレジスト層20Bの凹部Rを埋めるように平
坦化する。場合によっては表面張力の効果をより高める
ために、第2のレジスト層30をスピンコートする前に
第1のレジスト層20Bの表面を疎水化しても良い。第
1のレジスト層20Bの表面を炭化フッ素のプラズマに
晒すことによって簡単に疎水化することが可能である。
A second polymeric material, such as a metal-containing polymer,
When it is used as the material of the resist layer 30, the first resist layer 20B may be spin-coated by being dissolved in an appropriate solvent when applied. Immediately after spin coating, the second resist layer 30 made of a polymer material is flattened by the surface tension so as to fill the recess R of the first resist layer 20B. In some cases, the surface of the first resist layer 20B may be hydrophobized before spin-coating the second resist layer 30 in order to enhance the effect of the surface tension. The surface of the first resist layer 20B can be easily made hydrophobic by exposing it to plasma of fluorine carbide.

【0035】また、第2のレジスト層30としてポリマ
ー膜をスピンコートした後に、アニ−ル処理を行うこと
により凹部Rにのみポリマー材料を堆積させたりもしく
は平坦化を促進することも可能である。
It is also possible to spin-coat a polymer film as the second resist layer 30 and then anneal it to deposit a polymer material only in the recess R or to promote planarization.

【0036】またここで、第2のレジスト層30は、図
1(c)に例示した如く第1のレジスト層20Bの凹部
Rを完全に充填してその上の平坦部も覆うように形成し
てもよく、または、後に図3を参照して詳述するよう
に、凹部Rの一部のみを充填するように形成してもよ
い。
Here, the second resist layer 30 is formed so as to completely fill the concave portion R of the first resist layer 20B and cover the flat portion thereon as shown in FIG. 1 (c). Alternatively, it may be formed so as to fill only a part of the recess R, as will be described later in detail with reference to FIG.

【0037】さて、このようにして形成されたマスクパ
ターンを用いて、図1(d)に表したように、被加工体
10を直接エッチングすることにより、高いアスペクト
比で被加工体10に微細パターンを形成することができ
る。つまり、第2のレジスト層30をマスクとして、第
1のレジスト層20Bをエッチングし、さらにその下の
被加工体10をエッチングする。従って、このエッチン
グに際しては、第2のレジスト層30がエッチングマス
クとなるよう、その材料とエッチング方法を選択する必
要がある。
By using the mask pattern thus formed, as shown in FIG. 1D, the object 10 to be processed is directly etched, so that the object 10 to be processed can be finely patterned with a high aspect ratio. A pattern can be formed. That is, using the second resist layer 30 as a mask, the first resist layer 20B is etched, and further the workpiece 10 underneath is etched. Therefore, in this etching, it is necessary to select the material and etching method so that the second resist layer 30 serves as an etching mask.

【0038】また、第2のレジスト層30とのエッチン
グ選択比が確保できる範囲であれば、第1のレジスト層
20Bをエッチングする方法と、その下の被加工体10
をエッチングする方法とは同一である必要はない。例え
ば、ドライエッチングによる場合、第1のレジスト層2
0Bをエッチングする際のエッチングガスと、被加工体
10をエッチングする際のエッチングガスとを使い分け
ることも可能である。
If the etching selection ratio with respect to the second resist layer 30 can be ensured, the method of etching the first resist layer 20B and the object 10 to be processed thereunder.
Need not be the same as the method of etching. For example, in the case of dry etching, the first resist layer 2
It is also possible to selectively use the etching gas for etching 0B and the etching gas for etching the workpiece 10.

【0039】また例えば、被加工体10をエッチングす
る前に、酸素プラズマによるRIE(Reactive Ion Etc
hing)を行うことにより、第1のレジスト層20Bの凸
部、つまりブロックコポリマーの除去されなかったポリ
マー鎖領域(ポリマー相)をエッチングした後、被加工
体10を適当な方法によりエッチングすれば、アスペク
ト比の高いパターニングを行うことができる。
Further, for example, before etching the workpiece 10, RIE (Reactive Ion Etc) by oxygen plasma is performed.
By performing the hing) to etch the convex portion of the first resist layer 20B, that is, the polymer chain region (polymer phase) where the block copolymer is not removed, the workpiece 10 is etched by an appropriate method. Patterning with a high aspect ratio can be performed.

【0040】以上説明したように、本実施形態によれ
ば、ブロックコポリマーを相分離させて規則配列を形成
し、その一方のポリマー相を選択的に除去してエッチン
グ耐性の高い第2のレジスト層を埋め込むことにより、
マスクパターンを形成する。このようにして形成された
マスクパターンは、エッチング方法を適宜選択すること
により、被加工体を高いアスペクト比でエッチングする
ことができる。
As described above, according to this embodiment, the block copolymer is phase-separated to form an ordered array, and one of the polymer phases is selectively removed to selectively etch the second resist layer having a high etching resistance. By embedding
A mask pattern is formed. With the mask pattern thus formed, the object to be processed can be etched with a high aspect ratio by appropriately selecting the etching method.

【0041】相分離したブロックコポリマーの規則配列
構造は、そのポリマー鎖の材料や配合のバランスを調節
することにより、任意に制御することができる。その結
果として、100nm以下の微細な規則配列構造を自己
組織的に形成させることができ、この配列を反映した被
加工体のパターニングを確実且つ容易に実施することが
できる。
The ordered array structure of the phase-separated block copolymer can be arbitrarily controlled by adjusting the material of the polymer chain and the balance of the compounding. As a result, a fine ordered array structure of 100 nm or less can be formed in a self-organizing manner, and patterning of the object to be processed that reflects this array can be performed reliably and easily.

【0042】さて、本実施形態によれば、さらに、被加
工体のパターンサイズを制御することも可能である。つ
まり、プロセス条件を調節することにより、最終的に形
成される被加工体のパターンの幅を変えることができ
る。これには、2種類の方法がある。まずそのひとつ
は、図1(c)に表した第2のレジスト層30の堆積量
を調節する方法である。
By the way, according to this embodiment, it is also possible to control the pattern size of the object to be processed. That is, the width of the pattern of the finally formed workpiece can be changed by adjusting the process conditions. There are two ways to do this. First, one of them is a method of adjusting the deposition amount of the second resist layer 30 shown in FIG.

【0043】図2は、第2のレジスト層30の堆積量を
調節することにより、マスクとなる領域の面積を任意に
変える方法を説明するための概念図である。
FIG. 2 is a conceptual diagram for explaining a method of arbitrarily changing the area of a region serving as a mask by adjusting the deposition amount of the second resist layer 30.

【0044】例えば、同図(a)に表したように、第2
のレジスト層30を、第1のレジスト層20Bの凹部R
を完全に埋めるように堆積すると、マスクされる領域は
凹部Rの全体となる。従って、被加工体10がエッチン
グされて形成されるパターンの幅Wは、同図に表したよ
うに凹部Rの外縁サイズと同程度となる。
For example, as shown in FIG.
Of the resist layer 30 to the recess R of the first resist layer 20B.
When it is deposited so as to completely fill the area, the masked area becomes the entire recess R. Therefore, the width W of the pattern formed by etching the workpiece 10 is about the same as the outer edge size of the recess R as shown in the figure.

【0045】これに対して、図2(b)に表したよう
に、凹部Rは半球状の曲率を持った形状をしているため
第2のレジスト層30の堆積量を減らすと、第2のレジ
スト層30で覆われる部分は小さくなり、マスクとして
作用する部分の面積が小さくなるために、最終的に得ら
れる被加工体10のパターン幅Wも小さくすることがで
きる。これは、凹部Rが曲面状に形成されることを利用
したものである。
On the other hand, as shown in FIG. 2B, since the concave portion R has a hemispherical curvature, the second resist layer 30 is reduced to the second portion when the deposition amount of the second resist layer 30 is reduced. Since the portion covered with the resist layer 30 becomes smaller and the area of the portion acting as a mask becomes smaller, the pattern width W of the finally obtained workpiece 10 can also be made smaller. This utilizes the fact that the concave portion R is formed in a curved shape.

【0046】このように、本実施形態によれば、第2の
レジスト層30の堆積量を調節することにより、マスク
のサイズを変えることができ、これに応じて、被加工体
のパターンサイズを制御することができる。
As described above, according to this embodiment, the mask size can be changed by adjusting the deposition amount of the second resist layer 30, and accordingly, the pattern size of the workpiece can be changed. Can be controlled.

【0047】なお、この場合には、第2のレジスト層3
0に対するエッチング速度が十分に低いエッチング方法
を用いることにより、第2のレジスト層30に対応した
正確なパターニングができる。
In this case, the second resist layer 3
By using an etching method having a sufficiently low etching rate for 0, accurate patterning corresponding to the second resist layer 30 can be performed.

【0048】さて、被加工体のパターンサイズを調節す
るもうひとつの方法は、マスクとして作用する第2のレ
ジスト層30をオーバーエッチングする方法である。
Now, another method of adjusting the pattern size of the object to be processed is a method of over-etching the second resist layer 30 acting as a mask.

【0049】図3は、第2のレジスト層をオーバーエッ
チングすることにより被加工体のパターンサイズが変化
することを説明する概念図である。
FIG. 3 is a conceptual diagram for explaining that the pattern size of the object to be processed changes by overetching the second resist layer.

【0050】同図(a)に表したように、第2のレジス
ト層30を、第1のレジスト層20Bの凹部Rを埋める
ように堆積し、これをマスクとして高い選択比でエッチ
ングを施した場合、被加工体10のパターン幅W1は、
第2のレジスト層30の外縁、すなわち、凹部Rの外縁
と同一となる。なお、このようにマスクの外縁に合わせ
た正確なパターニングを行うためには、エッチング方法
として、高い異方性を有し、サイドエッチングが抑制さ
れた方法を用いることが望ましい。
As shown in FIG. 5A, the second resist layer 30 was deposited so as to fill the recess R of the first resist layer 20B, and was etched with a high selectivity using this as a mask. In this case, the pattern width W1 of the workpiece 10 is
It is the same as the outer edge of the second resist layer 30, that is, the outer edge of the recess R. In order to perform accurate patterning according to the outer edge of the mask in this manner, it is desirable to use a method having high anisotropy and suppressing side etching as the etching method.

【0051】これに対して、第2のレジスト層30もあ
る程度エッチングされる条件を用いてオーバーエッチン
グを実施すると、図3(b)に表したように、マスクと
なる第2のレジスト層30は、その層厚が薄い外縁部か
ら順次消失する。そして、その下の第1のレジスト層2
0B及び被加工体10がエッチングされる。その結果と
して、オーバーエッチング量に応じて、被加工体10の
パターン幅W2〜W4を適宜縮小することができる。つ
まり、本実施形態によれば、第2のレジスト層30を適
宜オーバーエッチングすることにより、被加工体10の
パターン幅を制御することができる。
On the other hand, when the over-etching is performed under the condition that the second resist layer 30 is also etched to some extent, the second resist layer 30 serving as a mask is formed as shown in FIG. 3B. , The layers gradually disappear from the outer edge. Then, the first resist layer 2 thereunder
OB and the workpiece 10 are etched. As a result, the pattern widths W2 to W4 of the workpiece 10 can be appropriately reduced according to the amount of overetching. That is, according to this embodiment, the pattern width of the workpiece 10 can be controlled by appropriately overetching the second resist layer 30.

【0052】またここで、オーバーエッチングにより形
成された略円柱状のピラー状のパターンは、その下端
に、パターン幅が徐々に変化する裾野部Gを有する。こ
の裾野部Gは、第2のレジスト層30のオーバーエッチ
ングに伴って外側から順次エッチングが進行したことに
より形成される。このように、被加工体10のピラーの
下端に徐々に太くなる裾野部Gを形成すると、ピラーが
根本から折れることを防ぐことができる。この効果は、
高いアスペクト比のエッチングを行う場合に、特に顕著
である。また、このオーバーエッチングを用いたサイズ
の調整方法においては、第1のレジスト層20Bをエッ
チングする方法と、被加工体10を加工するエッチング
方法とは異なっている方が好ましい。つまり第一のレジ
スト層20Bをエッチングする工程においてサイズを独
立に制御することが可能ということである。
Here, the pillar-shaped pattern having a substantially columnar shape formed by over-etching has a skirt G at the lower end of which the pattern width gradually changes. This skirt portion G is formed by the progressive etching from the outside accompanying the over-etching of the second resist layer 30. In this way, by forming the skirt portion G that becomes gradually thicker at the lower end of the pillar of the workpiece 10, it is possible to prevent the pillar from being broken from the root. This effect is
This is particularly remarkable when etching with a high aspect ratio is performed. Further, in the size adjusting method using this over-etching, it is preferable that the method of etching the first resist layer 20B and the etching method of processing the workpiece 10 are different. That is, it is possible to independently control the size in the step of etching the first resist layer 20B.

【0053】次に、本発明において、ブロックコポリマ
ーの相分離構造を規則的に配列させるために有利な構造
について説明する。
Next, in the present invention, a structure advantageous for regularly arranging the phase separation structure of the block copolymer will be described.

【0054】図4は、ブロックコポリマーの相分離構造
を規則的に配列させる方法を表す模式図である。
FIG. 4 is a schematic diagram showing a method of regularly arranging the phase separation structure of the block copolymer.

【0055】すなわち、同図(a)に表したように、被
加工体10の上に2次元的な凹凸パターンを形成する。
この形成方法としては、リソグラフィーなどの方法を用
いることができる。リソグラフィーの手段としてはフォ
トリソグラフィーなどを用いることができるが、これに
限定されるものではない。
That is, as shown in FIG. 3A, a two-dimensional uneven pattern is formed on the object to be processed 10.
As a method of forming this, a method such as lithography can be used. Photolithography or the like can be used as a means of lithography, but it is not limited to this.

【0056】このような被加工体10の上にブロックコ
ポリマーを堆積すると、図4(b)に表したように、凹
部Cにおいてその相分離構造が配向し、所定の方向に規
則的に配列した周期的構造が得られる。
When the block copolymer is deposited on such an object 10 to be processed, as shown in FIG. 4 (b), the phase-separated structure is oriented in the recess C and is regularly arranged in a predetermined direction. A periodic structure is obtained.

【0057】ここで、凹凸パターンは、ブロックコポリ
マーの規則配列構造の格子間隔すなわち周期より幅広の
凹部Cを含むものとするとよい。これは凹部Cにブロッ
クコポリマーが閉じ込められ、規則配列が凹部Cでのみ
起こることを促進させるためである。凹部Cにおいて、
相分離構造を配向させて周期的配列が形成されるために
は、凹部Cの幅をおおよそ周期的配列の格子間隔の数倍
乃至数百倍程度とすることが望ましい。
Here, it is preferable that the concave-convex pattern includes concave portions C wider than the lattice spacing of the regular arrangement structure of the block copolymer, that is, the period. This is because the block copolymer is confined in the recesses C, and it promotes that the ordered arrangement occurs only in the recesses C. In the recess C,
In order to form the periodic array by orienting the phase-separated structure, it is desirable that the width of the recess C be approximately several times to several hundreds times the lattice spacing of the periodic array.

【0058】一方、凹部Cの深さは、ブロックコポリマ
ーの規則配列構造の格子間隔より小さい程度とすること
が望ましい。これは、規則配列構造の格子間隔より深い
凹部を形成すると、膜厚方向にも規則的に積層された相
分離構造が形成される可能性があるためである。ただ
し、シリンダ構造もしくはラメラ構造が膜面に対して垂
直に配向するようなブロックコポリマーを用いる場合に
は、凹部Cの深さが規則配列構造の格子間隔より大きい
凹凸パターンを利用することも可能である。
On the other hand, it is desirable that the depth of the recesses C be smaller than the lattice spacing of the ordered array structure of the block copolymer. This is because when the recesses deeper than the lattice spacing of the ordered array structure are formed, a phase-separated structure regularly stacked in the film thickness direction may be formed. However, when using a block copolymer in which the cylinder structure or the lamella structure is oriented perpendicular to the film surface, it is also possible to use a concavo-convex pattern in which the depth of the recesses C is larger than the lattice spacing of the regular array structure. is there.

【0059】図5は、被加工体10の表面を疎水化させ
る方法を表す模式図である。
FIG. 5 is a schematic view showing a method for making the surface of the object to be processed 10 hydrophobic.

【0060】すなわち、同図(a)に表したように、凹
凸パターンの凸部の上面のみを疎水化して、疎水化層1
0Hを形成する。すると、この疎水化層10Hではブロ
ックコポリマー20がはじかれるため、同図(b)に表
したように、凹部Cにのみブロックコポリマーを堆積さ
せることが可能である。このようにして堆積されたブロ
ックコポリマーは、凹部Cのサイズに応じて配向した相
分離構造を形成する。
That is, as shown in FIG. 3A, only the upper surface of the convex portion of the concavo-convex pattern is made hydrophobic, and the hydrophobic layer 1 is formed.
To form 0H. Then, since the block copolymer 20 is repelled in the hydrophobized layer 10H, it is possible to deposit the block copolymer only in the recess C as shown in FIG. The block copolymer thus deposited forms a phase-separated structure oriented according to the size of the recess C.

【0061】図5(a)に表したような凹凸パターンを
形成するためには、被加工体10の表面をまず一様に疎
水化可能な材料、例えばSiOなどの薄膜で被覆す
る。その上からレジストを塗布し、所定の部分のみ疎水
化処理を施す。疎水化処理には、オクタデシルトリメチ
ルシラン、ヘキサメチルジサラザンなどのシランカップ
ラーもしくはアルカンチオールなどの界面活性剤を用い
ることができる。
In order to form the concavo-convex pattern shown in FIG. 5A, the surface of the object to be processed 10 is first uniformly covered with a thin film such as SiO 2 which can be made hydrophobic. A resist is applied from above and a predetermined portion is subjected to a hydrophobic treatment. For the hydrophobic treatment, a silane coupler such as octadecyltrimethylsilane or hexamethyldisalazan or a surfactant such as alkanethiol can be used.

【0062】また、図5に表した例とは別に、凹凸パタ
ーンの全面を一様に疎水化しても良い。疎水化すると接
触角が大きくなるため平坦な表面では薄膜にはならず液
滴状にはじいてしまうが、凹部では垂直な面が存在する
ため接触角が大きくても液滴状にはならず膜が存在する
ことができる。しかしこの場合には、疎水化の程度を調
整する必要があり凸部のみ疎水化する方が確実に分離を
行うことができる。
In addition to the example shown in FIG. 5, the entire surface of the concavo-convex pattern may be uniformly made hydrophobic. When hydrophobized, the contact angle becomes large, so that it does not become a thin film on a flat surface and repels droplets, but since there is a vertical surface in the recess, it does not form a droplet even if the contact angle is large. Can exist. However, in this case, it is necessary to adjust the degree of hydrophobization, and it is more reliable to hydrophobize only the protrusions.

【0063】また一方、凹凸パターンは、ブロックコポ
リマーの規則配列構造の格子間隔より狭いサイズの凹部
を有する周期的なパターンとしてもよい。この場合、凹
部に対応して、その上に、相分離構造を構成するいずれ
かのポリマー層を配向させることが可能である。その結
果として、ブロックコポリマーの相分離構造を所定の方
向に規則的に配列させることができる。
On the other hand, the concavo-convex pattern may be a periodic pattern having concave portions having a size smaller than the lattice spacing of the regular arrangement structure of the block copolymer. In this case, it is possible to orient one of the polymer layers constituting the phase-separated structure on the recess corresponding to the recess. As a result, the phase separation structure of the block copolymer can be regularly arranged in a predetermined direction.

【0064】(第2の実施の形態)次に、本発明の第2
の実施の形態として、転写層を介して被加工体に微細パ
ターンを形成する加工方法について説明する。
(Second Embodiment) Next, the second embodiment of the present invention will be described.
As an embodiment, a processing method for forming a fine pattern on a workpiece through a transfer layer will be described.

【0065】図6は、本実施形態の加工方法を表す工程
断面図である。
FIG. 6 is a process sectional view showing the processing method of this embodiment.

【0066】まず、同図(a)に表したように、被加工
体10上に炭素系有機高分子材料などからなる転写層4
0を塗布する。ここで炭素系高分子材料を用いるのは、
後の工程で酸素プラズマエッチングによりこの転写層4
0にパターンを転写するためである。炭素系有機高分子
材料の具体例としては、例えば、ポリスチレン、ポリヒ
ドロキシスチレンなどのポリスチレン誘導体、ポリビニ
ルナフタレンおよびその誘導体、ノボラック樹脂、ポリ
イミドなどエッチング耐性が高い材料が好ましい。
First, as shown in FIG. 1A, a transfer layer 4 made of a carbon-based organic polymer material or the like is formed on the object 10 to be processed.
Apply 0. Here, the carbon-based polymer material is used.
This transfer layer 4 is formed by oxygen plasma etching in a later step.
This is because the pattern is transferred to 0. Specific examples of the carbon-based organic polymer material include materials having high etching resistance such as polystyrene, polystyrene derivatives such as polyhydroxystyrene, polyvinyl naphthalene and its derivatives, novolac resin, and polyimide.

【0067】続いて、形成した転写層40の上に、ブロ
ックコポリマー層20をスピンコート法などにより塗布
し、適当な温度でアニ−ル処理することにより相分離さ
せ規則配列化させる。
Subsequently, the block copolymer layer 20 is applied onto the formed transfer layer 40 by a spin coating method or the like, and annealed at an appropriate temperature to cause phase separation and regular arrangement.

【0068】次に、図6(b)に表したように、相分離
したブロックコポリマー層20の一部を構成するポリマ
ー相20Aを選択的に除去する。すなわち、図1に関し
て前述したように、エネルギー線照射などの手段により
ポリマー相20Aを除去して、凹部Rが規則的に配列し
た第1のレジスト層20Bを形成する。
Next, as shown in FIG. 6B, the polymer phase 20A forming a part of the phase-separated block copolymer layer 20 is selectively removed. That is, as described above with reference to FIG. 1, the polymer phase 20A is removed by means such as energy beam irradiation to form the first resist layer 20B in which the recesses R are regularly arranged.

【0069】次に、図6(c)に表したように、第2の
レジスト層30を第1のレジスト層20Bの上に形成す
る。本変型例においては、第2のレジスト層30のパタ
ーン(すなわち凹部Rの部分)をマスクにして酸素プラ
ズマなどにより転写層40に対してパターンを転写する
ので、第2のレジスト層30の材料としては、酸素プラ
ズマに対する耐性が高いものを用いることが望ましい。
Next, as shown in FIG. 6C, the second resist layer 30 is formed on the first resist layer 20B. In this modified example, the pattern of the second resist layer 30 (that is, the portion of the recess R) is used as a mask to transfer the pattern to the transfer layer 40 by oxygen plasma or the like. It is desirable to use a material having high resistance to oxygen plasma.

【0070】例えば、金属、金属酸化物、金属窒化物、
カーバイトなど無機材料を用いることによりプラズマエ
ッチングに対する酸素プラズマエッチング耐性の高いマ
スクパターンを形成することができる。また、芳香環含
有低分子有機材料を用いても良い。芳香環含有低分子有
機材料に金属が含まれている材料を用いても良い。ポリ
シランやポリシロキサンなどのSi(シリコン)等の金
属を含有するポリマーを用いても良い。
For example, metal, metal oxide, metal nitride,
By using an inorganic material such as carbide, a mask pattern having high oxygen plasma etching resistance against plasma etching can be formed. Alternatively, an aromatic ring-containing low molecular weight organic material may be used. A material containing a metal in the aromatic ring-containing low molecular weight organic material may be used. A polymer containing a metal such as Si (silicon) such as polysilane or polysiloxane may be used.

【0071】これらの材料の酸素プラズマに対するエッ
チング耐性は、炭素系有機高分子材料と比較して非常に
高いため、本具体例において、転写層40へのパターン
転写を非常に高アスペクト比で実現することができる。
Since the etching resistance of these materials to oxygen plasma is much higher than that of the carbon-based organic polymer material, the pattern transfer to the transfer layer 40 is realized with an extremely high aspect ratio in this example. be able to.

【0072】ここで、第2のレジスト層30の堆積は、
図1に関して前述した方法と同様に行うことができる。
Here, the deposition of the second resist layer 30 is performed as follows.
It can be performed in the same way as the method described above with reference to FIG.

【0073】次に、図6(d)に表したように、第2の
レジスト層30の上から酸素プラズマによるRIEを行
うことにより、転写層40に第2のレジスト層30の2
次元規則パターンを転写する。
Next, as shown in FIG. 6D, RIE by oxygen plasma is performed on the second resist layer 30 so that the second layer of the second resist layer 30 is formed on the transfer layer 40.
Transfer the dimensional rule pattern.

【0074】しかる後に、図6(e)に表したように、
転写層40をマスクとして、被加工体10をエッチング
し、所定の微細パターンを形成する。ここで、被加工体
10のエッチングに先立って、第2のレジスト層30及
び第1のレジスト層20Bは、除去してもよいが、残し
たまま被加工体10のエッチングを開始してもよい。
Then, as shown in FIG. 6E,
The workpiece 10 is etched by using the transfer layer 40 as a mask to form a predetermined fine pattern. Here, the second resist layer 30 and the first resist layer 20B may be removed prior to the etching of the object 10 to be processed, or the etching of the object 10 to be processed may be started while the second resist layer 30 and the first resist layer 20B are left. .

【0075】以上説明したように、図6に表した加工方
法によれば、転写層40に所定の微細パターンを転写し
た後に、これをマスクとして被加工体10をエッチング
する。このように転写層40を介することにより、エッ
チングの選択比をさらに高くすることが可能である。つ
まり、第2のレジスト層30及び転写層40の材料とし
て好適なものを用い、図6(d)及び(e)に表したそ
れぞれのエッチング工程において、最適なエッチング方
法を選択すれば、被加工体10に対するエッチング選択
比をさらに高くすることが可能となり、アスペクト比の
高い微細パターンを形成することが可能となる。
As described above, according to the processing method shown in FIG. 6, the predetermined fine pattern is transferred to the transfer layer 40, and then the workpiece 10 is etched by using this as a mask. By thus interposing the transfer layer 40, it is possible to further increase the etching selection ratio. That is, by using a suitable material for the second resist layer 30 and the transfer layer 40 and selecting an optimum etching method in each of the etching steps shown in FIGS. The etching selection ratio with respect to the body 10 can be further increased, and a fine pattern with a high aspect ratio can be formed.

【0076】また、本実施形態においても、図2及び図
3に関して前述したように、第2のレジスト層30の塗
布量を調節し、あるいは、第2のレジスト層30を適宜
オーバーエッチングすることにより、転写層40に転写
されるパターンのサイズを調節し、その結果として、被
加工体10に形成される微細パターンのサイズを制御す
ることができる。
Also in this embodiment, as described above with reference to FIGS. 2 and 3, the coating amount of the second resist layer 30 is adjusted, or the second resist layer 30 is appropriately overetched. The size of the pattern transferred to the transfer layer 40 can be adjusted, and as a result, the size of the fine pattern formed on the workpiece 10 can be controlled.

【0077】またさらに、本発明実施形態においても、
転写層40に対して予め、図4に例示したようなブロッ
クコポリマーの規則配列構造を配向制御するための凹凸
パターンを形成しておくことができる。
Furthermore, also in the embodiment of the present invention,
It is possible to previously form a concavo-convex pattern for controlling the orientation of the ordered arrangement structure of the block copolymer as illustrated in FIG. 4 on the transfer layer 40.

【0078】図7は、転写層40に凹凸パターンを形成
した具体例を表す工程断面図である。
FIG. 7 is a process sectional view showing a specific example in which a concavo-convex pattern is formed on the transfer layer 40.

【0079】まず、同図7(a)に表したように、被加
工体10の上に形成した転写層40に、規則配列構造を
配向制御するための凹部Cを形成する。
First, as shown in FIG. 7A, recesses C for controlling the orientation of the ordered array structure are formed in the transfer layer 40 formed on the workpiece 10.

【0080】凹部Cは、転写層40の上からさらに別の
レジストを塗布してリソグラフィーにより形成しても良
い。転写層40の材料として炭素系有機高分子材料など
を用いた場合には、その表面は非常に柔らかい。したが
って、「ナノインプリント法」によって所定の原盤の凹
凸パターンを直接転写しても良い。
The recess C may be formed by lithography by coating another resist on the transfer layer 40. When a carbon-based organic polymer material or the like is used as the material of the transfer layer 40, its surface is very soft. Therefore, the concave-convex pattern of a predetermined master may be directly transferred by the “nanoimprint method”.

【0081】凹部Cを形成する前もしくは形成後に転写
層40を硬化させる。これは、転写層40に形成したパ
ターンが、その上に塗布する第1のレジスト層つまりブ
ロックコポリマーの溶媒もしくは規則配列化する際のア
ニール処理などにより破壊されることを防ぐために重要
である。
The transfer layer 40 is cured before or after forming the recess C. This is important in order to prevent the pattern formed on the transfer layer 40 from being destroyed by the solvent of the first resist layer applied to the transfer layer 40, that is, the block copolymer, or the annealing treatment for the regular arrangement.

【0082】図7(b)に表したように、転写層40の
硬化処理は、光照射もしくは加熱により行う。光硬化性
の樹脂としては、ポリスチレン、ポリブタジエン、ポリ
イソプレン、ノボラック樹脂、ジアゾ系樹脂などを用い
ることができる。熱硬化性樹脂としては、ポリアクリロ
ニトリル誘導体、ポリアミド酸、ポリイミド、ポリアニ
リン誘導体、ポリパラフェニレン誘導体、ポリシクロヘ
キサジエン誘導体、ポリブタジエン、ポリイソプレン、
ノボラック樹脂などを用いることができる。
As shown in FIG. 7B, the transfer layer 40 is cured by light irradiation or heating. As the photocurable resin, polystyrene, polybutadiene, polyisoprene, novolac resin, diazo resin or the like can be used. As the thermosetting resin, polyacrylonitrile derivative, polyamic acid, polyimide, polyaniline derivative, polyparaphenylene derivative, polycyclohexadiene derivative, polybutadiene, polyisoprene,
Novolac resin or the like can be used.

【0083】ポリマー鎖をより効率的に硬化させるため
に、有機過酸化物などのラジカル発生剤、架橋剤を添加
することにより架橋反応を促進させ硬化させることも有
効である。
In order to cure the polymer chain more efficiently, it is also effective to add a radical generator such as an organic peroxide or a crosslinking agent to accelerate the crosslinking reaction and cure.

【0084】これらの硬化処理は、パターン形成前に行
ってもパターン形成後に行っても良い。パターン形成後
に硬化処理を行う場合は、熱硬化の際にパターン崩れが
起こることがあるので光硬化の方が望ましい。光硬化と
熱硬化とを併用することにより、さらに硬化反応を促進
し耐熱性、耐溶媒性が高まる。ナノインプリントにより
原盤のパターンを直接転写する場合には、原盤を圧着し
ている最中に基板を加熱もしくは光照射により転写層4
0を硬化させても良い。
These hardening treatments may be carried out before the pattern formation or after the pattern formation. When the curing treatment is performed after the pattern formation, the pattern collapse may occur during the thermal curing, and thus the photocuring is preferable. The combined use of photo-curing and heat-curing further accelerates the curing reaction and enhances heat resistance and solvent resistance. When the pattern of the master is directly transferred by nanoimprint, the transfer layer 4 is heated by heating or irradiating the substrate while the master is being pressed.
0 may be hardened.

【0085】このようにして、転写層40に所定の凹部
Cを形成し硬化させた後に、ブロックコポリマー20を
塗布する。この後は、図6に関して前述した一連のプロ
セスにより、被加工体10に微細パターンを形成するこ
とができる。゜またここで、転写層40の表面に凹凸パ
ターンを形成する場合にも、図5に関して前述したよう
に、転写層40の表面に対して疎水化処理を行うことが
できる。このようにすれば、転写層40の凹部Cにのみ
ブロックコポリマーを分離して堆積させることができ
る。この場合、転写層40の表面にシランカップラーや
アルカンチオールなどに対する反応基がないため、それ
らを疎水化処理に用いることができない。疎水化処理は
フッ化炭素系プラズマ中に試料を晒すことにより表面に
炭化フッ素系ポリマーを堆積させることで行うことがで
きる。
In this way, after forming the predetermined recess C in the transfer layer 40 and curing it, the block copolymer 20 is applied. After that, the fine pattern can be formed on the workpiece 10 by the series of processes described above with reference to FIG. In addition, here, also when the uneven pattern is formed on the surface of the transfer layer 40, the surface of the transfer layer 40 can be subjected to the hydrophobic treatment as described above with reference to FIG. By doing so, the block copolymer can be separately deposited only in the recess C of the transfer layer 40. In this case, since the surface of the transfer layer 40 has no reactive group for the silane coupler or alkanethiol, they cannot be used for the hydrophobic treatment. The hydrophobizing treatment can be performed by exposing the sample to a fluorocarbon plasma to deposit a fluorocarbon polymer on the surface.

【0086】[0086]

【実施例】以下、実施例を参照しつつ、本発明の実施の
形態についてさらに詳細に説明する。
Embodiments of the present invention will be described in more detail below with reference to embodiments.

【0087】(第1の実施例)本発明の第1実施形態の
加工方法に従い、第1のレジスト層を形成するためのブ
ロックコポリマー20として、ポリスチレン/ポリメチ
ルメタクリレートのブロックコポリマーを用い、第2の
レジスト層30としてスピンオングラス(SOG)を用
いて、微細加工を実施した。
(First Example) According to the processing method of the first embodiment of the present invention, a block copolymer of polystyrene / polymethylmethacrylate is used as the block copolymer 20 for forming the first resist layer. Fine processing was performed using spin-on-glass (SOG) as the resist layer 30 of FIG.

【0088】以下、図1を参照しつつ、その工程につい
て説明する。
The process will be described below with reference to FIG.

【0089】まず、図1(a)に表したように、被加工
体10として用意したシリコン(Si)基板上に、ブロ
ックコポリマー20として、分子量17万のポリスチレ
ン(PS)と分子量4万のポリメチルメタクリレート
(PMMA)からなるジブロックコポリマーをプロピレ
ングリコールモノメチルエーテルアセテート(PGME
A)に溶解したものを膜厚60nmとなるようにスピン
コート法により塗布した。そして、水素還元雰囲気中で
210℃で30時間アニ−ルすることにより、相分離さ
せて規則配列構造を形成した。
First, as shown in FIG. 1A, as a block copolymer 20, polystyrene (PS) with a molecular weight of 170,000 and poly with a molecular weight of 40,000 are prepared on a silicon (Si) substrate prepared as a workpiece 10. Diblock copolymer consisting of methyl methacrylate (PMMA) is propylene glycol monomethyl ether acetate (PGME
What was dissolved in A) was applied by spin coating so as to have a film thickness of 60 nm. Then, by annealing at 210 ° C. for 30 hours in a hydrogen reducing atmosphere, the phases were separated to form a regular array structure.

【0090】次に、図1(b)に表したように、凹部R
を形成した。具体的には、酸素の流量300sccm、
全圧200mTorr、投入RFパワー300Wの条件
で、20秒間、反応性イオンエッチング(RIE)する
ことにより、PMMAのポリマー相20Aを取り除い
た。
Next, as shown in FIG. 1B, the recess R
Was formed. Specifically, the flow rate of oxygen is 300 sccm,
The polymer phase 20A of PMMA was removed by reactive ion etching (RIE) for 20 seconds under conditions of a total pressure of 200 mTorr and an input RF power of 300 W.

【0091】この時点で、ブロックコポリマー層の表面
を原子間力顕微鏡(AFM)により観察すると、直径約
45nm、深さ約20nm、間隔約80nmの凹部Rが
六方格子状に並んでいることが確認された。
At this point, when observing the surface of the block copolymer layer with an atomic force microscope (AFM), it was confirmed that the recesses R having a diameter of about 45 nm, a depth of about 20 nm and an interval of about 80 nm were arranged in a hexagonal lattice. Was done.

【0092】次に、図1(c)に表したように、第2の
レジスト層30としてSOGを形成した。具体的には、
SOGを乳酸エチルに溶解し、スピンコート法により塗
布した。
Next, as shown in FIG. 1C, SOG was formed as the second resist layer 30. In particular,
SOG was dissolved in ethyl lactate and applied by spin coating.

【0093】そして、図1(d)に表したように、この
上からエッチングを実施した。具体的には、酸素流量2
0sccm、全圧30mTorr、投入RFパワー10
0Wの条件で100秒間、RIEした。
Then, as shown in FIG. 1D, etching was performed from above. Specifically, the oxygen flow rate 2
0 sccm, total pressure 30 mTorr, input RF power 10
RIE was performed under the condition of 0 W for 100 seconds.

【0094】図8は、このようにして得られた試料表面
のAFM像である。同図から分かるように、ジブロック
コポリマーの規則配列構造がSOGの構造に正確に転写
されていることが確認された。
FIG. 8 is an AFM image of the sample surface thus obtained. As can be seen from the figure, it was confirmed that the ordered array structure of the diblock copolymer was accurately transferred to the SOG structure.

【0095】(比較例)上述した第1実施例と比較する
ため、ブロックコポリマーの下地に転写層を置き、さら
にその下に厚膜のレジスト膜を置き、この厚膜のレジス
ト膜にブロックコポリマーのパターンを転写した例につ
いて説明する。
Comparative Example For comparison with the above-described first embodiment, a transfer layer is placed under the block copolymer, and a thick resist film is placed under the transfer layer. An example of transferring a pattern will be described.

【0096】まず、シリコン(Si)基板の上に、厚膜
のレジスト膜としてノボラック樹脂を100nm膜厚で
塗布した後、転写層としてSiOを真空蒸着法により
膜厚15nmとなるように堆積した。さらに、その上か
ら、第1実施例で用いたものと同じジブロックコポリマ
ーを膜厚が60nmとなるように塗布した。
First, a novolac resin was applied as a thick resist film to a thickness of 100 nm on a silicon (Si) substrate, and then SiO 2 was deposited as a transfer layer to a thickness of 15 nm by a vacuum evaporation method. . Furthermore, the same diblock copolymer as that used in the first example was applied thereon so that the film thickness would be 60 nm.

【0097】次に、210℃で30時間アニ−ルするこ
とによりブロックコポリマーを相分離させて規則配列化
し、第1実施例と同様に、酸素RIEによりPMMAポ
リマー鎖領域(ポリマー相20A)を除去した後、CF
の流量20sccm、全圧30mTorr、投入RF
パワー100Wの条件で15秒間RIEしてSiO
写層にパターンを転写した。
Next, the block copolymer was phase-separated by anneal at 210 ° C. for 30 hours to form an ordered array, and the PMMA polymer chain region (polymer phase 20A) was removed by oxygen RIE as in the first embodiment. And then CF
4 flow rate 20 sccm, total pressure 30 mTorr, input RF
RIE was performed for 15 seconds under the condition of a power of 100 W to transfer the pattern to the SiO 2 transfer layer.

【0098】次に、第1実施例と同様に、酸素RIEに
より下地の厚膜レジスト膜としてのノボラック樹脂にパ
ターンを転写した。ここで、このようにして得られる転
写パターンは、ブロックコポリマーの表面のパターンと
同様の凹凸形状を有するものとなることが望ましい。
Then, similarly to the first embodiment, the pattern was transferred to the novolac resin as the underlying thick resist film by oxygen RIE. Here, it is desirable that the transfer pattern thus obtained has the same concavo-convex shape as the pattern on the surface of the block copolymer.

【0099】図9は、このようにして得られた試料表面
のAFM像である。同図から分かるように、ノボラック
樹脂層の表面に形成された凹部の径には「ばらつき」が
多く、ポリマー相20Aが除去されて形成された凹部が
ノボラック樹脂層にきちんと転写されていないことが分
かった。
FIG. 9 is an AFM image of the sample surface thus obtained. As can be seen from the figure, the diameters of the recesses formed on the surface of the novolac resin layer have many “variations”, and the recesses formed by removing the polymer phase 20A are not properly transferred to the novolac resin layer. Do you get it.

【0100】(第2の実施例)次に、本発明の第2の実
施形態の加工方法を用いて磁性体膜をエッチングし、微
細な磁性体ドットを周期配列させた「パターンド・メデ
ィア」を形成した具体例について、図6を適宜参照しつ
つ説明する。
(Second Example) Next, using the processing method of the second embodiment of the present invention, the magnetic film is etched to form "patterned media" in which fine magnetic dots are periodically arranged. A specific example in which the above is formed will be described with reference to FIG. 6 as appropriate.

【0101】まず、ガラス基板上にコバルト白金(Co
Pt)合金10をスパッタ法により膜厚60nmとなる
ように成膜した。
First, cobalt platinum (Co
The Pt) alloy 10 was deposited by a sputtering method so as to have a film thickness of 60 nm.

【0102】次に、その上にノボラック樹脂を120n
mの膜厚となるよう塗布し、210℃で30分間アニ−
ルしてノボラック樹脂層40を硬化させた。そして、そ
の上から第1実施例と同様のジブロックコポリマー20
を膜厚60nmとなるように塗布し、210℃で30時
間のアニ−ル処理を施すことにより、相分離させて規則
配列化させた。
Next, 120 n of novolac resin is applied on top of it.
m to a film thickness of 30 minutes at 210 ° C.
Then, the novolac resin layer 40 was cured. Then, from above, the diblock copolymer 20 similar to that of the first embodiment is obtained.
Was applied so as to have a film thickness of 60 nm, and an annealing treatment was performed at 210 ° C. for 30 hours to cause phase separation and regular arrangement.

【0103】次に、第1実施例と同じ条件で酸素RIE
によりPMMAポリマー鎖領域(ポリマー相20A)を
除去した。
Next, oxygen RIE is performed under the same conditions as in the first embodiment.
To remove the PMMA polymer chain region (polymer phase 20A).

【0104】次に、その上からSOG30を第1実施例
と同様にスピンコートした後、やはり第1実施例と同様
に酸素RIEによりノボラック樹脂層40にパターンを
転写した。
Then, SOG 30 was spin-coated on the same as in the first embodiment, and then the pattern was transferred to the novolac resin layer 40 by oxygen RIE as in the first embodiment.

【0105】次に、このノボラック樹脂層40をマスク
として、アルゴン(Ar)イオンミリングによりCoP
t膜をエッチングした。
Next, using this novolac resin layer 40 as a mask, CoP is performed by argon (Ar) ion milling.
The t film was etched.

【0106】図10は、このようにして得られたCoP
tドットの走査電子顕微鏡(SEM)像である。幅と高
さの比率が1:3程度という極めて高いアスペクト比の
CoPtドットがガラス基板の上に形成されていること
が確認された。
FIG. 10 shows the CoP thus obtained.
It is a scanning electron microscope (SEM) image of t dots. It was confirmed that CoPt dots having an extremely high aspect ratio with a width-height ratio of about 1: 3 were formed on the glass substrate.

【0107】(第3の実施例)次に、本発明の第2の実
施形態の加工方法を用いて、転写層40に凹凸パターン
を形成することにより規則配列の配向を揃える方法を用
いて、磁性体のパターンドメディアを作成した具体例に
ついて、図6及び図7を適宜参照しつつ説明する。
(Third Example) Next, using the processing method of the second embodiment of the present invention, a method of aligning the orientation of a regular array by forming an uneven pattern on the transfer layer 40 is used. A specific example of producing a patterned medium of a magnetic material will be described with reference to FIGS. 6 and 7 as appropriate.

【0108】まず、ガラスのディスク基板上にコバルト
白金クロム(CoPtCr)薄膜10をスパッタにより
40nm成膜した。その上に、ノボラック樹脂40を1
20nmの膜厚に塗布した。そして、このノボラック樹
脂40の表面に、凸部の幅が320nm、凹部の幅が7
0nm、凹部の深さが60nmの同心円状の凹凸パター
ンを形成した原盤ディスクを、30トンの圧力でナノイ
ンプリントした。この結果として、ノボラック樹脂40
の表面には、この原盤のパターンを反転した凹凸パター
ンが形成された。
First, a cobalt platinum chromium (CoPtCr) thin film 10 was formed to a thickness of 40 nm on a glass disk substrate by sputtering. On top of that, add novolac resin 40
It was applied to a film thickness of 20 nm. Then, on the surface of the novolac resin 40, the width of the convex portion is 320 nm and the width of the concave portion is 7 nm.
A master disk on which a concentric concavo-convex pattern having a depth of 0 nm and a recess depth of 60 nm was formed was nanoimprinted under a pressure of 30 tons. As a result of this, the novolac resin 40
On the surface of, an uneven pattern was formed by reversing the pattern of this master.

【0109】次に、300Wの深紫外光を3分間照射し
た後、210℃で30分間アニ−ルすることにより、イ
ンプリントにより形成されたノボラック樹脂40のパタ
ーンを硬化させた。
Next, after irradiating with deep ultraviolet light of 300 W for 3 minutes, the pattern of the novolak resin 40 formed by imprinting was cured by annealing at 210 ° C. for 30 minutes.

【0110】そして、その上から第1実施例と同様に、
ジブロックコポリマー20を塗布して、アニ−ル処理し
規則配列化させた後、酸素RIEによりPMMAポリマ
ー鎖領域(ポリマー相20A)を除去した。
From above, as in the first embodiment,
The diblock copolymer 20 was applied, annealed and regularly arranged, and then the PMMA polymer chain region (polymer phase 20A) was removed by oxygen RIE.

【0111】次に、その上からSOG30をスピンコー
トしたのち、これをマスクとして酸素RIEによりレジ
スト層20Bとノボラック樹脂40をエッチングして、
ノボラック樹脂40にパターンを転写した。そして、第
2実施例と同様に、ノボラック樹脂40をマスクとし
て、Arイオンミリングにより磁性体膜10をエッチン
グした。
Next, SOG 30 is spin-coated from above, and the resist layer 20B and the novolac resin 40 are etched by oxygen RIE using this as a mask.
The pattern was transferred to the novolac resin 40. Then, similarly to the second embodiment, the magnetic film 10 was etched by Ar ion milling using the novolac resin 40 as a mask.

【0112】図11は、このようにして得られたCoP
tCrの磁性体ドットを表すSEM像である。ガラス基
板上において、磁性体ドット10Dが同心円状に4列ず
つきれいに配向して形成されていることが確認された。
FIG. 11 shows the CoP thus obtained.
It is a SEM image showing the magnetic dot of tCr. It was confirmed that on the glass substrate, the magnetic dots 10D were formed in four concentric circles with fine alignment.

【0113】(第4の実施例)本発明の第2の実施の形
態の加工方法を用いて、単電子デバイスを作製した具体
例について、図6及び図7を適宜参照しつつ説明する。
(Fourth Example) A specific example in which a single-electron device is manufactured by using the processing method according to the second embodiment of the present invention will be described with reference to FIGS. 6 and 7.

【0114】まず、シリコン基板の上に、シリコン酸化
膜を層厚200nm形成した後、チタン(Ti)、金
(Au)の薄膜10を順次5nm、20nmの厚みに形
成した。
First, a silicon oxide film having a layer thickness of 200 nm was formed on a silicon substrate, and then a titanium (Ti) and gold (Au) thin film 10 was sequentially formed to have a thickness of 5 nm and 20 nm.

【0115】その上にノボラック樹脂層40を、100
nmの膜厚に塗布した後、幅50nm長さ500nm深
さ20nmの凹部をナノインプリントにより形成した。
A novolac resin layer 40 is formed on the surface of the novolak resin layer 100 by 100.
After application to a film thickness of nm, a recess having a width of 50 nm, a length of 500 nm and a depth of 20 nm was formed by nanoimprinting.

【0116】その後、300Wの深紫外光を3分間照射
し、210℃で30分間アニールし、PS分子量600
00、PMMA分子量12000のジブロックコポリマ
ー膜20を膜厚20nmとなるよう塗布し、210℃で
30時間アニールし相分離させた。
Then, 300 W deep UV light was irradiated for 3 minutes and annealed at 210 ° C. for 30 minutes to obtain PS molecular weight of 600.
00, a diblock copolymer film 20 having a PMMA molecular weight of 12000 was applied so as to have a film thickness of 20 nm, and annealed at 210 ° C. for 30 hours for phase separation.

【0117】次に、酸素プラズマ処理によりPMMA部
分(ポリマー相20A)を除去した後、SOG30を塗
布し、酸素RIEによりノボラック樹脂40をエッチン
グして微細パターンマスクを形成した。
Next, the PMMA portion (polymer phase 20A) was removed by oxygen plasma treatment, SOG 30 was applied, and the novolac resin 40 was etched by oxygen RIE to form a fine pattern mask.

【0118】そして、このノボラック樹脂40をマスク
としてArイオンミリングによりチタン/金の薄層10
をエッチングすることにより、チタン/金のドット列を
形成した。
Then, using this novolac resin 40 as a mask, a thin layer of titanium / gold 10 was formed by Ar ion milling.
To form a titanium / gold dot array.

【0119】その後レジスト塗布し、チタン/金ドット
列の一部をマスクするようにフォトリソグラフィーによ
りレジストパターンを作製し、その上からチタン(T
i)、金(Au)の薄膜を順次5nm、20nmの膜厚
に形成した後、レジストをリフトオフしてソース電極、
ドレイン電極を形成した。
After that, a resist is applied, a resist pattern is formed by photolithography so as to mask a part of the titanium / gold dot row, and titanium (T
i), a gold (Au) thin film is sequentially formed to have a film thickness of 5 nm and 20 nm, and then the resist is lifted off to form a source electrode,
A drain electrode was formed.

【0120】図12は、このようにして得られた単電子
デバイスの平面構成を表す概念図である。ソース電極S
とドレイン電極Dとの間で電圧―電流特性を測定したと
ころ、電流は階段状に変化し、チタン/金ドットに対応
してシリコン基板の表面付近に単電子が閉じこめられる
ことが確認できた。
FIG. 12 is a conceptual diagram showing the planar structure of the single electron device thus obtained. Source electrode S
When the voltage-current characteristics were measured between the drain electrode D and the drain electrode D, it was confirmed that the current changed stepwise and single electrons were confined near the surface of the silicon substrate corresponding to the titanium / gold dots.

【0121】以上、具体例を参照しつつ、本発明の実施
の形態について説明した。しかし、本発明は、これらの
具体例に限定されるものではない。例えば、本発明にお
いて用いるブロックコポリマー、第2のレジスト層、転
写層あるいは被加工体の材料、形状、サイズなどに関し
ては、当業者が適宜選択することにより本発明を同様に
実施し、同様の効果を得ることができるものも本発明の
範囲に包含される。
The embodiments of the present invention have been described above with reference to specific examples. However, the present invention is not limited to these specific examples. For example, the block copolymer, the second resist layer, the transfer layer, or the material, shape, size, etc. of the object to be processed used in the present invention are appropriately selected by those skilled in the art, and the present invention can be carried out in the same manner, with the same effect. What can obtain is also included in the scope of the present invention.

【0122】また、本発明の加工方法は、上述したパタ
ーンドメディアや単電子デバイスに限定されず、その他
各種の用途において同様に実施し、同様の効果を得るこ
とができるものも本発明の範囲に包含される。
Further, the processing method of the present invention is not limited to the above-mentioned patterned media and single-electron device, and it is also within the scope of the present invention to carry out the same in various other applications and obtain the same effect. Included in.

【0123】その他、本発明の実施の形態として上述し
た加工方法を基にして、当業者が適宜設計変更して実施
しうるすべての加工方法も同様に本発明の範囲に属す
る。
In addition, all processing methods which can be appropriately modified and carried out by those skilled in the art based on the processing methods described above as the embodiments of the present invention also belong to the scope of the present invention.

【0124】[0124]

【発明の効果】以上説明したように、本発明によれば、
欠陥の非常に少ない規則配列パターンを持ち、耐エッチ
ング特性にすぐれたマスクパターンを安価にしかも高い
スループットで形成することにより、これにより、高密
度記録媒体や高集積化電子部品などの各種の製品を実用
的な工程およびコストにより製造する加工方法を提供す
ることができ、産業上のメリットは多大である。
As described above, according to the present invention,
By forming a mask pattern with very few defects and excellent etching resistance at low cost and with high throughput, various products such as high density recording media and highly integrated electronic parts can be manufactured. It is possible to provide a processing method that is manufactured by a practical process and cost, and industrial merits are great.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の第1の実施の形態にかかる加工方法を
表す工程断面図である。
FIG. 1 is a process sectional view illustrating a processing method according to a first embodiment of the present invention.

【図2】第2のレジスト層30の堆積量を調節すること
により、マスクとなる領域の面積を任意に変える方法を
説明するための概念図である。
FIG. 2 is a conceptual diagram for explaining a method of arbitrarily changing the area of a region serving as a mask by adjusting the deposition amount of a second resist layer 30.

【図3】第2のレジスト層をオーバーエッチングするこ
とにより被加工体のパターンサイズが変化することを説
明する概念図である。
FIG. 3 is a conceptual diagram for explaining that the pattern size of a workpiece is changed by overetching the second resist layer.

【図4】ブロックコポリマーの相分離構造を規則的に配
列させる方法を表す模式図である。
FIG. 4 is a schematic diagram showing a method for regularly arranging the phase separation structure of a block copolymer.

【図5】被加工体10の表面を疎水化させる方法を表す
模式図である。
FIG. 5 is a schematic view showing a method for making the surface of the object to be processed 10 hydrophobic.

【図6】本発明の第2の実施形態の加工方法を表す工程
断面図である。
FIG. 6 is a process sectional view illustrating a processing method according to a second embodiment of the present invention.

【図7】転写層40に凹凸パターンを形成した具体例を
表す工程断面図である。
FIG. 7 is a process cross-sectional view showing a specific example in which a concavo-convex pattern is formed on a transfer layer 40.

【図8】本発明の第1実施例において得られた試料表面
のAFM像である。
FIG. 8 is an AFM image of the sample surface obtained in the first example of the present invention.

【図9】比較例において得られた試料表面のAFM像で
ある。
FIG. 9 is an AFM image of a sample surface obtained in a comparative example.

【図10】本発明の第2実施例において得られたCoP
tドットの走査電子顕微鏡(SEM)像である。
FIG. 10: CoP obtained in the second embodiment of the present invention
It is a scanning electron microscope (SEM) image of t dots.

【図11】本発明の第3実施例において得られたCoP
tCrの磁性体ドットを表すSEM像である。
FIG. 11: CoP obtained in the third embodiment of the present invention
It is a SEM image showing the magnetic dot of tCr.

【図12】本発明の第4実施例において得られた単電子
デバイスの平面構成を表す概念図である。
FIG. 12 is a conceptual diagram showing a planar configuration of a single-electron device obtained in a fourth example of the present invention.

【符号の説明】[Explanation of symbols]

10 被加工体 10H 疎水化層 20 ブロックコポリマー層 20A、20B ポリマー相 20B 第1のレジスト層 30 第2のレジスト層 40 転写層 C 凹部 D ドレイン電極 G 裾野部 R 凹部 S ソース電極 10 Workpiece 10H hydrophobic layer 20 block copolymer layer 20A, 20B polymer phase 20B First resist layer 30 Second resist layer 40 Transfer layer C recess D drain electrode G foot part R recess S source electrode

─────────────────────────────────────────────────────
─────────────────────────────────────────────────── ───

【手続補正書】[Procedure amendment]

【提出日】平成13年12月12日(2001.12.
12)
[Submission date] December 12, 2001 (2001.12.
12)

【手続補正1】[Procedure Amendment 1]

【補正対象書類名】明細書[Document name to be amended] Statement

【補正対象項目名】請求項7[Name of item to be corrected] Claim 7

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【手続補正2】[Procedure Amendment 2]

【補正対象書類名】明細書[Document name to be amended] Statement

【補正対象項目名】0020[Correction target item name] 0020

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【0020】一方、本発明の成形体は、支持体の主面上
に、前記支持体から連続的に突出してなる複数のピラー
が設けられた成形体であって、 一方、本発明の成形体は、前記複数のピラーのそれぞれ
は直径が100nm以下の略円柱状であり、且つ、前記
支持体との結合部近傍において、前記支持体に近づくに
つれてその太さが太くなる裾野部を有することを特徴と
する。
On the other hand, the molded product of the present invention is a molded product in which a plurality of pillars continuously protruding from the support are provided on the main surface of the support, while the molded product of the present invention is Is that each of the plurality of pillars has a substantially columnar shape with a diameter of 100 nm or less, and has a skirt portion that becomes thicker toward the support body in the vicinity of the joint with the support body. Characterize.

【手続補正3】[Procedure 3]

【補正対象書類名】図面[Document name to be corrected] Drawing

【補正対象項目名】図2[Name of item to be corrected] Figure 2

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【図2】 [Fig. 2]

【手続補正4】[Procedure amendment 4]

【補正対象書類名】図面[Document name to be corrected] Drawing

【補正対象項目名】図3[Name of item to be corrected] Figure 3

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【図3】 [Figure 3]

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/3065 C08L 53:00 // G03F 7/26 511 H01L 21/30 502R C08L 53:00 21/302 H (72)発明者 平岡 俊郎 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 (72)発明者 内藤 勝之 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 Fターム(参考) 2H096 AA25 BA09 HA30 KA19 4F006 AA58 AB73 CA02 DA01 EA03 4F073 AA06 BA34 BB09 CA49 CA51 5D112 AA05 AA16 FA04 GA18 GA20 5F004 BA04 DA26 DB01 DB08 EA04 EA08 EB08 ─────────────────────────────────────────────────── ─── Continuation of front page (51) Int.Cl. 7 Identification code FI theme code (reference) H01L 21/3065 C08L 53:00 // G03F 7/26 511 H01L 21/30 502R C08L 53:00 21/302 H (72) Inventor Toshiro Hiraoka 1 Komukai Toshiba-cho, Sachi-ku, Kawasaki-shi, Kanagawa Stock company inside the Toshiba Research and Development Center (72) Inventor Katsuyuki Naito 1 Komu-shishi-cho, Saiwai-ku, Kawasaki, Kanagawa Stock company Toshiba Research and Development Center F-term (reference) 2H096 AA25 BA09 HA30 KA19 4F006 AA58 AB73 CA02 DA01 EA03 4F073 AA06 BA34 BB09 CA49 CA51 5D112 AA05 AA16 FA04 GA18 GA20 5F004 BA04 DA26 DB01 DB08 EA04 EA08 EB08

Claims (7)

【特許請求の範囲】[Claims] 【請求項1】第1のポリマー相と第2のポリマー相とが
略規則的に配列した配列構造を有するブロックコポリマ
ー層を被加工体の上に形成する工程と、 前記第1のポリマー相を選択的に除去することにより前
記ブロックコポリマー層の表面に凹部を形成する工程
と、 前記凹部にマスク層を設ける工程と、 前記マスク層をマスクとして前記ブロックコポリマー層
及び前記被加工体をエッチングすることにより、前記ブ
ロックコポリマー層の前記配列構造に対応したパターン
を前記被加工体に形成する工程と、 を備えたことを特徴とする加工方法。
1. A step of forming a block copolymer layer having an array structure in which a first polymer phase and a second polymer phase are substantially regularly arranged on a workpiece, and the first polymer phase Forming a recess on the surface of the block copolymer layer by selective removal, providing a mask layer in the recess, and etching the block copolymer layer and the workpiece with the mask layer as a mask And a step of forming a pattern corresponding to the arrangement structure of the block copolymer layer on the object to be processed.
【請求項2】前記被加工体は、前記ブロックコポリマー
層を形成する前の状態においてその表面に凹凸パターン
を有し、 前記被加工体の上に形成された前記ブロックコポリマー
層の前記配列構造は、前記凹凸パターンに対応して配向
してなることを特徴とする請求項1記載の加工方法。
2. The object to be processed has an uneven pattern on the surface before the block copolymer layer is formed, and the array structure of the block copolymer layers formed on the object to be processed is 2. The processing method according to claim 1, wherein the patterning is performed corresponding to the concavo-convex pattern.
【請求項3】被加工体の上に転写層を形成する工程と、 前記転写層の上に、第1のポリマー相と第2のポリマー
相とが略規則的に配列した配列構造を有するブロックコ
ポリマー層を形成する工程と、 前記第1のポリマー相を選択的に除去することにより前
記ブロックコポリマー層の表面に凹部を形成する工程
と、 前記凹部にマスク層を設ける工程と、 前記マスク層をマスクとして前記ブロックコポリマー層
及び前記転写層をエッチングすることにより、前記ブロ
ックコポリマー層の前記配列構造に対応したパターンを
前記転写層に形成する工程と、 前記転写層をマスクとして前記被加工体をエッチングす
る工程と、 を備えたことを特徴とする加工方法。
3. A step of forming a transfer layer on a workpiece, and a block having an array structure in which a first polymer phase and a second polymer phase are arranged in a substantially regular manner on the transfer layer. Forming a copolymer layer; forming a recess on the surface of the block copolymer layer by selectively removing the first polymer phase; providing a mask layer in the recess; Etching the block copolymer layer and the transfer layer as a mask to form a pattern on the transfer layer corresponding to the array structure of the block copolymer layer; and etching the object to be processed using the transfer layer as a mask. And a step of:
【請求項4】前記転写層は、前記ブロックコポリマー層
を形成する前の状態においてその表面に凹凸パターンを
有し、 前記転写層の上に形成された前記ブロックコポリマー層
の前記配列構造は、前記凹凸パターンに対応して配向し
てなることを特徴とする請求項3記載の加工方法。
4. The transfer layer has a concavo-convex pattern on its surface before the block copolymer layer is formed, and the arrangement structure of the block copolymer layers formed on the transfer layer is the The processing method according to claim 3, wherein the orientation is made in correspondence with the uneven pattern.
【請求項5】前記凹部における前記マスク層の充填量を
調節することにより、前記配列構造に対応したパターン
のサイズを制御することを特徴とする請求項1〜4のい
ずれか1つに記載の加工方法。
5. The size of the pattern corresponding to the array structure is controlled by adjusting the filling amount of the mask layer in the concave portion, according to any one of claims 1 to 4. Processing method.
【請求項6】前記マスク材料をマスクとして実施する前
記エッチングにおいて、前記マスク材料に対するオーバ
ーエッチング量を調節することにより、前記配列構造に
対応したパターンのサイズを制御することを特徴とする
請求項1〜4のいずれか1つに記載の加工方法。
6. The size of a pattern corresponding to the array structure is controlled by adjusting an overetching amount with respect to the mask material in the etching performed using the mask material as a mask. The processing method according to any one of 4 to 4.
【請求項7】支持体の主面上に、前記支持体から連続的
に突出してなる複数のピラーが設けられた加工体であっ
て、 前記複数のピラーのそれぞれは略円柱状であり、且つ、
前記支持体との結合部近傍において、前記支持体に近づ
くにつれてその太さが太くなる裾野部を有することを特
徴とする成形体。
7. A processed body in which a plurality of pillars continuously protruding from the support body are provided on a main surface of the support body, each of the plurality of pillars having a substantially cylindrical shape, and ,
A molded article, characterized in that it has a skirt portion that becomes thicker as it approaches the support, in the vicinity of the joint with the support.
JP2001358296A 2001-11-22 2001-11-22 Processing method Expired - Fee Related JP3967114B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001358296A JP3967114B2 (en) 2001-11-22 2001-11-22 Processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001358296A JP3967114B2 (en) 2001-11-22 2001-11-22 Processing method

Publications (2)

Publication Number Publication Date
JP2003155365A true JP2003155365A (en) 2003-05-27
JP3967114B2 JP3967114B2 (en) 2007-08-29

Family

ID=19169497

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001358296A Expired - Fee Related JP3967114B2 (en) 2001-11-22 2001-11-22 Processing method

Country Status (1)

Country Link
JP (1) JP3967114B2 (en)

Cited By (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006302396A (en) * 2005-04-19 2006-11-02 Toshiba Corp Method of manufacturing magnetic recording medium
JP2007125699A (en) * 2005-11-01 2007-05-24 Hitachi Ltd Pattern substrate, its manufacturing method, fine mold and magnetic recording pattern medium
JP2007125665A (en) * 2005-11-07 2007-05-24 Hitachi Ltd Fine structural body and method for manufacturing fine structural body
JP2007220196A (en) * 2006-02-16 2007-08-30 Fujitsu Ltd Magnetic recording medium and its manufacturing method
JP2007266392A (en) * 2006-03-29 2007-10-11 Toshiba Corp Method of processing three-dimensionally shaped semiconductor member and transistor formed using the method
WO2007127496A2 (en) * 2006-03-23 2007-11-08 Micron Technology, Inc. Topography directed patterning
JP2007301839A (en) * 2006-05-11 2007-11-22 Toshiba Corp Pattern forming method, imprint mold, and method for producing magnetic recording medum
JP2008036491A (en) * 2006-08-03 2008-02-21 Nippon Telegr & Teleph Corp <Ntt> Pattern formation method and mold
JP2008043873A (en) * 2006-08-15 2008-02-28 Toshiba Corp Pattern forming method
JP2008096596A (en) * 2006-10-10 2008-04-24 Canon Inc Pattern forming method
CN100395823C (en) * 2005-02-01 2008-06-18 Tdk股份有限公司 Magnetic recording medium and magnetic recording and reproducing apparatus
JP2008520450A (en) * 2004-11-22 2008-06-19 ウィスコンシン・アラムナイ・リサーチ・ファウンデーション Method and composition for non-periodic pattern copolymer films
JP2009042760A (en) * 2007-08-09 2009-02-26 Samsung Electronics Co Ltd Method of forming fine pattern using block copolymer
JP2009190153A (en) * 2008-02-18 2009-08-27 Nagoya Institute Of Technology Method of manufacturing microstructure and substrate with microstructure
JP2009216490A (en) * 2008-03-10 2009-09-24 Dainippon Printing Co Ltd Pattern size/shape measuring method
US7618675B2 (en) 2004-10-13 2009-11-17 Kabushiki Kaisha Toshiba Pattern forming method and method of processing a structure by use of same
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
JP2010522643A (en) * 2007-02-08 2010-07-08 マイクロン テクノロジー, インク. Method of using block copolymer self-assembly for sublithographic patterning
US7771785B2 (en) 2006-08-02 2010-08-10 Kabushiki Kaisha Toshiba Magnetic film and method of manufacturing magnetic film
US7826176B2 (en) 2006-03-30 2010-11-02 Kabushiki Kaisha Toshiba Magnetic recording medium with thicker protective film in edge areas and magnetic recording apparatus using the medium
WO2010131680A1 (en) * 2009-05-13 2010-11-18 株式会社 東芝 Resin composition for formation of pattern, pattern formation method, and process for production of light-emitting element
US7883930B2 (en) 2005-05-19 2011-02-08 Kabushiki Kaisha Toshiba Phase change memory including a plurality of electrically conductive bodies, and manufacturing method thereof
US7898768B2 (en) 2006-03-16 2011-03-01 Kabushiki Kaisha Toshiba Patterned medium with magnetic pattern depth relationship
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP2011523504A (en) * 2008-05-02 2011-08-11 マイクロン テクノロジー, インク. Graphoepitaxial self-organization of downward-facing semicylindrical arrays
US20110301313A1 (en) * 2009-02-27 2011-12-08 Mitsui Chemicals, Inc. Imprint product and method for producing the same
US8105952B2 (en) 2007-09-26 2012-01-31 Kabushiki Kaisha Toshiba Method of forming a pattern
US20120156448A1 (en) * 2009-08-26 2012-06-21 Mitsui Chemicals Inc Fluorine-containing cyclic olefin polymer composition, imprint product obtained using the composition, and method for producing the same
KR101157946B1 (en) 2009-10-07 2012-06-22 국립대학법인 동경공업대학 method for forming liquid crystal oriented film
US8404600B2 (en) 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
JP2013079349A (en) * 2011-10-05 2013-05-02 Asahi Kasei E-Materials Corp Pattern-forming resin composition and pattern forming method
WO2013137260A1 (en) 2012-03-12 2013-09-19 ナノシータ株式会社 Ultra-thin polymer film, and porous ultra-thin polymer film
JP2013249430A (en) * 2012-06-01 2013-12-12 Tokyo Electron Ltd Pattern forming method and heating device
US8609221B2 (en) 2007-06-12 2013-12-17 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8633112B2 (en) 2008-03-21 2014-01-21 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8642157B2 (en) 2008-02-13 2014-02-04 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8641914B2 (en) 2008-03-21 2014-02-04 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8652338B2 (en) 2007-09-26 2014-02-18 Kabushiki Kaisha Toshiba Magnetic recording medium and method of manufacturing the same
US8669645B2 (en) 2008-10-28 2014-03-11 Micron Technology, Inc. Semiconductor structures including polymer material permeated with metal oxide
JP2014510394A (en) * 2011-01-31 2014-04-24 シーゲイト テクノロジー エルエルシー Formation of templates with individual areas
US8716678B2 (en) 2008-02-18 2014-05-06 Fujimi Incorporated Method of manufacturing microstructure and substrate provided with the microstructure
US8753738B2 (en) 2007-03-06 2014-06-17 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8785559B2 (en) 2007-06-19 2014-07-22 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8784974B2 (en) 2007-03-22 2014-07-22 Micron Technology, Inc. Sub-10 NM line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
KR101428820B1 (en) 2007-05-14 2014-08-08 마이크론 테크놀로지, 인크. Topography directed patterning
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US8916053B2 (en) 2011-09-26 2014-12-23 Kabushiki Kaisha Toshiba Pattern forming method
US8956713B2 (en) 2007-04-18 2015-02-17 Micron Technology, Inc. Methods of forming a stamp and a stamp
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US9040123B2 (en) 2012-09-10 2015-05-26 Kabushiki Kaisha Toshiba Pattern formation method
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9142420B2 (en) 2007-04-20 2015-09-22 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
CN105565260A (en) * 2016-01-29 2016-05-11 中国科学院微电子研究所 Method for manufacturing nano-structure by self-assembly of block copolymer
CN105565261A (en) * 2016-01-29 2016-05-11 中国科学院微电子研究所 Directed self-assembly template transfer method
JP2016169350A (en) * 2015-03-16 2016-09-23 株式会社東芝 Self-organization material and pattern formation method
JP2016181630A (en) * 2015-03-24 2016-10-13 株式会社東芝 Pattern forming method
US9859118B2 (en) 2013-11-25 2018-01-02 Tokyo Electron Limited Pattern forming method and heating apparatus
JP2018098472A (en) * 2016-12-17 2018-06-21 京セラ株式会社 Semiconductor substrate with mask substrate, manufacturing method thereof, and method for manufacturing semiconductor composite substrate
US10395899B2 (en) 2017-09-13 2019-08-27 Toshiba Memory Corporation Method of manufacturing semiconductor device and semiconductor manufacturing apparatus

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5300799B2 (en) 2010-07-28 2013-09-25 株式会社東芝 Pattern forming method and polymer alloy base material
JP6129773B2 (en) 2014-03-14 2017-05-17 株式会社東芝 Pattern formation method
JP2016058698A (en) 2014-09-12 2016-04-21 株式会社東芝 Patterning method, method of manufacturing semiconductor device and template

Cited By (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7618675B2 (en) 2004-10-13 2009-11-17 Kabushiki Kaisha Toshiba Pattern forming method and method of processing a structure by use of same
JP2008520450A (en) * 2004-11-22 2008-06-19 ウィスコンシン・アラムナイ・リサーチ・ファウンデーション Method and composition for non-periodic pattern copolymer films
CN100395823C (en) * 2005-02-01 2008-06-18 Tdk股份有限公司 Magnetic recording medium and magnetic recording and reproducing apparatus
US7662264B2 (en) 2005-04-19 2010-02-16 Kabushiki Kaisha Toshiba Method for producing magnetic recording medium
JP2006302396A (en) * 2005-04-19 2006-11-02 Toshiba Corp Method of manufacturing magnetic recording medium
JP4649262B2 (en) * 2005-04-19 2011-03-09 株式会社東芝 Method for manufacturing magnetic recording medium
US7883930B2 (en) 2005-05-19 2011-02-08 Kabushiki Kaisha Toshiba Phase change memory including a plurality of electrically conductive bodies, and manufacturing method thereof
JP4665720B2 (en) * 2005-11-01 2011-04-06 株式会社日立製作所 Pattern substrate, pattern substrate manufacturing method, fine mold, and magnetic recording pattern medium
JP2007125699A (en) * 2005-11-01 2007-05-24 Hitachi Ltd Pattern substrate, its manufacturing method, fine mold and magnetic recording pattern medium
JP2007125665A (en) * 2005-11-07 2007-05-24 Hitachi Ltd Fine structural body and method for manufacturing fine structural body
JP2007220196A (en) * 2006-02-16 2007-08-30 Fujitsu Ltd Magnetic recording medium and its manufacturing method
JP4676897B2 (en) * 2006-02-16 2011-04-27 昭和電工株式会社 Magnetic recording medium and method for manufacturing the same
US8202571B2 (en) 2006-02-16 2012-06-19 Showa Denko K.K. Manufacturing method for magnetic recording medium
US7898768B2 (en) 2006-03-16 2011-03-01 Kabushiki Kaisha Toshiba Patterned medium with magnetic pattern depth relationship
CN101405216B (en) * 2006-03-23 2012-05-09 美光科技公司 Topography directed patterning
WO2007127496A2 (en) * 2006-03-23 2007-11-08 Micron Technology, Inc. Topography directed patterning
US7811940B2 (en) 2006-03-23 2010-10-12 Micron Technology, Inc. Topography directed patterning
JP2010527137A (en) * 2006-03-23 2010-08-05 マイクロン テクノロジー, インク. Topography-oriented patterning
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
WO2007127496A3 (en) * 2006-03-23 2008-01-17 Micron Technology Inc Topography directed patterning
JP2007266392A (en) * 2006-03-29 2007-10-11 Toshiba Corp Method of processing three-dimensionally shaped semiconductor member and transistor formed using the method
US7826176B2 (en) 2006-03-30 2010-11-02 Kabushiki Kaisha Toshiba Magnetic recording medium with thicker protective film in edge areas and magnetic recording apparatus using the medium
JP4543004B2 (en) * 2006-05-11 2010-09-15 株式会社東芝 Pattern forming method, imprint mold, and magnetic recording medium manufacturing method
JP2007301839A (en) * 2006-05-11 2007-11-22 Toshiba Corp Pattern forming method, imprint mold, and method for producing magnetic recording medum
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US8592940B2 (en) 2006-06-02 2013-11-26 Micron Technology, Inc. Topography based patterning
US8114573B2 (en) 2006-06-02 2012-02-14 Micron Technology, Inc. Topography based patterning
US7771785B2 (en) 2006-08-02 2010-08-10 Kabushiki Kaisha Toshiba Magnetic film and method of manufacturing magnetic film
JP2008036491A (en) * 2006-08-03 2008-02-21 Nippon Telegr & Teleph Corp <Ntt> Pattern formation method and mold
JP4673266B2 (en) * 2006-08-03 2011-04-20 日本電信電話株式会社 Pattern forming method and mold
KR100904330B1 (en) * 2006-08-15 2009-06-23 가부시끼가이샤 도시바 Method for pattern formation
US7931819B2 (en) 2006-08-15 2011-04-26 Kabushiki Kaisha Toshiba Method for pattern formation
JP2008043873A (en) * 2006-08-15 2008-02-28 Toshiba Corp Pattern forming method
JP2008096596A (en) * 2006-10-10 2008-04-24 Canon Inc Pattern forming method
KR101350072B1 (en) * 2007-02-08 2014-01-14 마이크론 테크놀로지, 인크. Methods using block copolymer self-assembly for sub-lithographic patterning
JP2010522643A (en) * 2007-02-08 2010-07-08 マイクロン テクノロジー, インク. Method of using block copolymer self-assembly for sublithographic patterning
US8562844B2 (en) 2007-02-08 2013-10-22 Micron Technology, Inc. Methods using block co-polymer self-assembly for sub-lithographic patterning
US8974678B2 (en) 2007-02-08 2015-03-10 Micron Technology, Inc. Methods using block co-polymer self-assembly for sub-lithographic patterning
US8753738B2 (en) 2007-03-06 2014-06-17 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8784974B2 (en) 2007-03-22 2014-07-22 Micron Technology, Inc. Sub-10 NM line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8801894B2 (en) 2007-03-22 2014-08-12 Micron Technology, Inc. Sub-10 NM line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US9276059B2 (en) 2007-04-18 2016-03-01 Micron Technology, Inc. Semiconductor device structures including metal oxide structures
US8956713B2 (en) 2007-04-18 2015-02-17 Micron Technology, Inc. Methods of forming a stamp and a stamp
US9768021B2 (en) 2007-04-18 2017-09-19 Micron Technology, Inc. Methods of forming semiconductor device structures including metal oxide structures
US9142420B2 (en) 2007-04-20 2015-09-22 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
KR101428820B1 (en) 2007-05-14 2014-08-08 마이크론 테크놀로지, 인크. Topography directed patterning
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US9257256B2 (en) 2007-06-12 2016-02-09 Micron Technology, Inc. Templates including self-assembled block copolymer films
US8609221B2 (en) 2007-06-12 2013-12-17 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8785559B2 (en) 2007-06-19 2014-07-22 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8399174B2 (en) 2007-08-09 2013-03-19 Samsung Electronics Co., Ltd. Method of forming fine patterns using a block copolymer
JP2009042760A (en) * 2007-08-09 2009-02-26 Samsung Electronics Co Ltd Method of forming fine pattern using block copolymer
US8105952B2 (en) 2007-09-26 2012-01-31 Kabushiki Kaisha Toshiba Method of forming a pattern
US8652338B2 (en) 2007-09-26 2014-02-18 Kabushiki Kaisha Toshiba Magnetic recording medium and method of manufacturing the same
US10005308B2 (en) 2008-02-05 2018-06-26 Micron Technology, Inc. Stamps and methods of forming a pattern on a substrate
US10828924B2 (en) 2008-02-05 2020-11-10 Micron Technology, Inc. Methods of forming a self-assembled block copolymer material
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US11560009B2 (en) 2008-02-05 2023-01-24 Micron Technology, Inc. Stamps including a self-assembled block copolymer material, and related methods
US8642157B2 (en) 2008-02-13 2014-02-04 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
JP2009190153A (en) * 2008-02-18 2009-08-27 Nagoya Institute Of Technology Method of manufacturing microstructure and substrate with microstructure
US8716678B2 (en) 2008-02-18 2014-05-06 Fujimi Incorporated Method of manufacturing microstructure and substrate provided with the microstructure
JP2009216490A (en) * 2008-03-10 2009-09-24 Dainippon Printing Co Ltd Pattern size/shape measuring method
US8633112B2 (en) 2008-03-21 2014-01-21 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US9315609B2 (en) 2008-03-21 2016-04-19 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US11282741B2 (en) 2008-03-21 2022-03-22 Micron Technology, Inc. Methods of forming a semiconductor device using block copolymer materials
US10153200B2 (en) 2008-03-21 2018-12-11 Micron Technology, Inc. Methods of forming a nanostructured polymer material including block copolymer materials
US8641914B2 (en) 2008-03-21 2014-02-04 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US9682857B2 (en) 2008-03-21 2017-06-20 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids and materials produced therefrom
US8518275B2 (en) 2008-05-02 2013-08-27 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
JP2011523504A (en) * 2008-05-02 2011-08-11 マイクロン テクノロジー, インク. Graphoepitaxial self-organization of downward-facing semicylindrical arrays
US8993088B2 (en) 2008-05-02 2015-03-31 Micron Technology, Inc. Polymeric materials in self-assembled arrays and semiconductor structures comprising polymeric materials
US8404600B2 (en) 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
US8846537B2 (en) 2008-06-17 2014-09-30 Micron Technology, Inc. Method for forming fine pitch structures
US8669645B2 (en) 2008-10-28 2014-03-11 Micron Technology, Inc. Semiconductor structures including polymer material permeated with metal oxide
US9314963B2 (en) 2009-02-27 2016-04-19 Mitsui Chemicals, Inc. Imprint product and method for producing the same
US20110301313A1 (en) * 2009-02-27 2011-12-08 Mitsui Chemicals, Inc. Imprint product and method for producing the same
US20120097640A1 (en) * 2009-05-13 2012-04-26 Asahi Kasei E-Materials Corporation Resin composition for pattern formation, pattern formation method and process for producing light-emitting element
KR101364382B1 (en) 2009-05-13 2014-02-17 아사히 가세이 이-매터리얼즈 가부시키가이샤 Resin composition for formation of pattern, pattern formation method, and process for production of light-emitting element
WO2010131680A1 (en) * 2009-05-13 2010-11-18 株式会社 東芝 Resin composition for formation of pattern, pattern formation method, and process for production of light-emitting element
JP5647110B2 (en) * 2009-05-13 2014-12-24 株式会社東芝 PATTERN FORMING RESIN COMPOSITION, PATTERN FORMING METHOD, AND LIGHT EMITTING DEVICE MANUFACTURING METHOD
CN102741988A (en) * 2009-05-13 2012-10-17 株式会社东芝 Resin composition for formation of pattern, pattern formation method, and process for production of light-emitting element
US8628673B2 (en) 2009-05-13 2014-01-14 Kabushiki Kaisha Toshiba Resin composition for pattern formation, pattern formation method and process for producing light-emitting element
US9056938B2 (en) 2009-08-26 2015-06-16 Mitsui Chemicals, Inc. Fluorine-containing cyclic olefin polymer composition, imprint product obtained using the composition, and method for producing the same
US20150274954A1 (en) * 2009-08-26 2015-10-01 Mitsui Chemicals, Inc. Fluorine-containing cyclic olefin polymer composition, imprint product obtained using the composition, and method for producing the same
US10000633B2 (en) * 2009-08-26 2018-06-19 Mitsui Chemicals, Inc. Fluorine-containing cyclic olefin polymer composition, imprint product obtained using the composition, and method for producing the same
US20120156448A1 (en) * 2009-08-26 2012-06-21 Mitsui Chemicals Inc Fluorine-containing cyclic olefin polymer composition, imprint product obtained using the composition, and method for producing the same
KR101157946B1 (en) 2009-10-07 2012-06-22 국립대학법인 동경공업대학 method for forming liquid crystal oriented film
JP2014510394A (en) * 2011-01-31 2014-04-24 シーゲイト テクノロジー エルエルシー Formation of templates with individual areas
US8916053B2 (en) 2011-09-26 2014-12-23 Kabushiki Kaisha Toshiba Pattern forming method
JP2013079349A (en) * 2011-10-05 2013-05-02 Asahi Kasei E-Materials Corp Pattern-forming resin composition and pattern forming method
US9431605B2 (en) 2011-11-02 2016-08-30 Micron Technology, Inc. Methods of forming semiconductor device structures
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US9938384B2 (en) 2012-03-12 2018-04-10 Nanotheta Co, Ltd. Ultra-thin polymer film, and porous ultra-thin polymer film
US10858490B2 (en) 2012-03-12 2020-12-08 Nanotheta Co, Ltd. Ultra-thin polymer film and porous ultra-thin polymer film
KR20150008060A (en) 2012-03-12 2015-01-21 나노시타 가부시키가이샤 Ultra-thin polymer film, and porous ultra-thin polymer film
WO2013137260A1 (en) 2012-03-12 2013-09-19 ナノシータ株式会社 Ultra-thin polymer film, and porous ultra-thin polymer film
JP2013249430A (en) * 2012-06-01 2013-12-12 Tokyo Electron Ltd Pattern forming method and heating device
US9040123B2 (en) 2012-09-10 2015-05-26 Kabushiki Kaisha Toshiba Pattern formation method
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US10049874B2 (en) 2013-09-27 2018-08-14 Micron Technology, Inc. Self-assembled nanostructures including metal oxides and semiconductor structures comprised thereof
US11532477B2 (en) 2013-09-27 2022-12-20 Micron Technology, Inc. Self-assembled nanostructures including metal oxides and semiconductor structures comprised thereof
US9859118B2 (en) 2013-11-25 2018-01-02 Tokyo Electron Limited Pattern forming method and heating apparatus
US10121659B2 (en) 2013-11-25 2018-11-06 Tokyo Electron Limited Pattern forming method and heating apparatus
JP2016169350A (en) * 2015-03-16 2016-09-23 株式会社東芝 Self-organization material and pattern formation method
JP2016181630A (en) * 2015-03-24 2016-10-13 株式会社東芝 Pattern forming method
CN105565261A (en) * 2016-01-29 2016-05-11 中国科学院微电子研究所 Directed self-assembly template transfer method
CN105565260A (en) * 2016-01-29 2016-05-11 中国科学院微电子研究所 Method for manufacturing nano-structure by self-assembly of block copolymer
JP2018098472A (en) * 2016-12-17 2018-06-21 京セラ株式会社 Semiconductor substrate with mask substrate, manufacturing method thereof, and method for manufacturing semiconductor composite substrate
US11217431B2 (en) 2017-09-13 2022-01-04 Kioxia Corporation Method of manufacturing semiconductor device and semiconductor manufacturing apparatus
US10395899B2 (en) 2017-09-13 2019-08-27 Toshiba Memory Corporation Method of manufacturing semiconductor device and semiconductor manufacturing apparatus

Also Published As

Publication number Publication date
JP3967114B2 (en) 2007-08-29

Similar Documents

Publication Publication Date Title
JP3967114B2 (en) Processing method
McClelland et al. Nanoscale patterning of magnetic islands by imprint lithography using a flexible mold
JP4421582B2 (en) Pattern formation method
JP5171909B2 (en) Method for forming fine pattern
JP4673266B2 (en) Pattern forming method and mold
US7442336B2 (en) Capillary imprinting technique
JP4654280B2 (en) Manufacturing method of fine structure
US9460747B2 (en) Hybrid-guided block copolymer assembly
US9245566B2 (en) Template-based magnetic grain-nucleation sites on seed layer
JP2011035233A (en) Method of forming pattern, and method of manufacturing semiconductor device
JP2005212093A (en) Nanostructure and its manufacturing method
JP2014078713A (en) Block copolymer assembly, apparatus, and block copolymer assembly structure
US7629596B2 (en) Method of producing 3-D mold, method of producing finely processed product, method of producing fine-pattern molded product, 3-D mold, finely processed product, fine-pattern molded product and optical component
US20100081282A1 (en) Process for adjusting the size and shape of nanostructures
US20130042779A1 (en) Stamp, method of manufacturing the same, and imprinting method using the stamp
JP2007070206A (en) Method of forming carbon nanotube
US20100009541A1 (en) Process for Adjusting the Size and Shape of Nanostructures
KR20100025363A (en) A method for fabricating a nanopattern, a method for fabricating a mask and a nanoimprint lithography method
KR101886056B1 (en) forming method of nanostructure pattern by vacuum deposition and sensor device thereby
JP2007102156A (en) Method for manufacturing three-dimensional structure, three-dimensional structure, optical element, and stencil mask
US9349406B2 (en) Combining features using directed self-assembly to form patterns for etching
JP2004303870A (en) Method for forming fine pattern
KR20070054896A (en) Fabricating method of stamp for nano imprint and fabricating method of photonic crystal by using the same
JP2005268686A (en) Metal pattern formation method
JP2004079098A (en) Recording medium, method for manufacturing recording medium, imprint original plate and method for manufacturing imprint original plate

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20040528

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050614

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050616

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050720

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060306

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060501

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070525

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070530

R151 Written notification of patent or utility model registration

Ref document number: 3967114

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100608

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100608

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110608

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120608

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120608

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130608

Year of fee payment: 6

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees