JP2002506488A - 電気化学堆積システム及び基体の電気めっき方法 - Google Patents

電気化学堆積システム及び基体の電気めっき方法

Info

Publication number
JP2002506488A
JP2002506488A JP55332099A JP55332099A JP2002506488A JP 2002506488 A JP2002506488 A JP 2002506488A JP 55332099 A JP55332099 A JP 55332099A JP 55332099 A JP55332099 A JP 55332099A JP 2002506488 A JP2002506488 A JP 2002506488A
Authority
JP
Japan
Prior art keywords
substrate
electrolyte
cathode
contact
plating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP55332099A
Other languages
English (en)
Inventor
ウジール ランドー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002506488A publication Critical patent/JP2002506488A/ja
Ceased legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 本発明は、半導体基体上に金属を信頼できるように、且つ一貫した電気めっきを行う、または電気化学的に堆積させる装置及び方法を提供する。詳述すれば、本発明は、サブミクロンで高アスペクト比の造作を有する金属シードした半導体基体上に、均一でボイドの無い金属の堆積物を形成させる。本発明は、基体ホールダと、基体めっき表面と電気的に接触している陰極と、電解液入口、電解液出口、及び基体めっき表面を受入れる開口を有する電解液コンテナと、電解液に電気的に接続されている陽極とを備えた電気化学的堆積セルを提供する。好ましくは、基体ホールダにバイブレータを取付けて基体を少なくとも一方向に振動させ、また補助電極を電解液出口付近に配置して基体表面全体に均一な堆積を形成させる。好ましくは、めっき期間中に周期的な反転電流を印加して基体上の高アスペクト比造作内にボイドの無い金属層を形成させる。

Description

【発明の詳細な説明】 電気化学堆積システム及び基体の電気めっき方法関連出願との相互参照 本願は、1998年4月21日付米国暫定特許出願一連番号60/082,521“基体の電気 めっき”の利益を請求する。 発明の背景発明の分野 本発明は、一般的には基体(サブストレート)上への金属層の堆積に関する。 詳しく述べれば、本発明は、基体に金属層を電気めっきする装置及び方法に関す る。関連技術の背景 サブミクロン多レベル金属化は、次世代の超大規模集積(ULSI)のための キー技術の1つである。この技術の心臓部をなしている多レベル相互接続には、 高アスペクト比開口内に形成される接点、バイア(vias)、ライン、及び他の造 作(features)を含む相互接続造作の平面化を必要とする。これらの相互接続造 作を信頼できるように形成させることは、ULSIを成功させるために、及び個 々の基体及びダイス上の回路密度及び品質を増加させるための不断の努力にとっ て極めて重要である。 回路密度が増加するにつれてバイア、接点及び他の造作の幅、並びにそれらの 間の誘電体材料がサブミクロンサイズまで減少するのに対して、誘電体層の厚み は実質的に一定のままであり、その結果これらの造作のアスペクト比、即ちそれ らの高さ/幅が増加する。従来の多くの堆積プロセスでは、2:1以上、特定的 には4:1以上のアスペクト比のサブミクロン構造を充填することは困難である 。従って、現在では高アスペクト比を有し、ボイド(空隙)が無いサブミクロン 造作を形成することに大きい努力が払われている。 半導体処理においてライン及びプラグを形成させるために伝統的に使用される 金属は、元素アルミニウム(Al)及びその合金であった。それは、アルミニウ ムは、電気抵抗が低く、二酸化シリコン(SiO2)への付着が優れ、パターン化が 容易であり、そして高度に純粋な形状を得る能力を有しているからである。しか しながら、アルミニウムは銅及び銀のような他の一層導電性の金属よりも電気抵 抗が高く、またアルミニウムは電気移動現象を受け易い。電気移動は、高い電流 密度が金属導体を通過することに応答する金属導体内の原子の運動であると考え られ、またそれは製造中に発生する障害とは全く異なり、金属回路の動作中に該 回路内に発生する現象である。電気移動は、導体内にボイドを形成する恐れがあ る。ボイドは、導体の中間断面がその導体を通過する電流の量を支持するのに十 分でなくなるサイズまで累積及び/または成長し、また開回路をももたらし得る 。また、ボイドが形成されると、熱を導体に沿って伝達させるのに使用可能な導 体の面積が減少し、導体破断の危険性を増加させる。この問題は、ある場合には 、アルミニウムに銅をドープし、材料の密着組織または結晶構造制御によって解 消している。しかしながら、アルミニウム内の電気移動は回路密度が増加するに つれてますます問題になっている。 銅及びその合金はアルミニウムよりも抵抗が低く、またアルミニウムに比して 電気移動に対する耐性が高い。これらの特性は、高レベルの集積及び高いデバイ ス速度において当面する大電流密度を支持するために重要である。また銅は良好 な熱伝導性を有しており、高度に純粋な状態で入手可能である。従って、半導体 基体上のサブミクロン・高アスペクト比相互接続造作を充填するための金属とし て銅が選択されつつある。 半導体デバイス製造に銅を使用することが望ましいのではあるが、銅を高アス ペクト比の造作内に堆積させる製造方法は制約される。銅をCVD堆積させるた めの前駆物質の開発が不十分であり、複雑且つ高費用の化学を含んでいる。これ らの造作を物理的に蒸着させても、造作内に形成される「ステップカバレッジ」 及びボイドの制約のために結果は不満足なものになる。 これらのプロセス制約の結果、従来は回路基体上のパターンの製造に制約をも たらすとされていた電気めっきが、今度は半導体デバイス上のバイア及び接点を 充填するための方法として脚光を浴びることになった。図1A−1Eは、ステッ プ付き(二重波形)バイア及びワイヤー限定(definitions)を有する誘電体層 内のステップ付き相互接続を形成するための金属化技術を示している。バイアは 、その下の層を露出させる床を有している。ステップ付き構造を図示してあるが 、他の相互接続造作を金属化するためにも適用することができる。この方法は一 般的に、造作表面上にバリア層を物理蒸着によって堆積させ、好ましくは銅であ る導電性金属シード層をバリア層上に物理蒸着させ、次いでシード層上に導電性 金属を電気めっきして構造/造作を充填させることからなる。最後に、堆積させ た層及び誘電体層を化学機械的研磨(CMP)等によって平面化し、導電性相互 接続造作を限定する。 図1A乃至1Eに断面図で示す成層構造10は、下に横たわる層14上に形成 された誘電体層16を含み、下の層14は導電性の造作15を含んでいる。下の 層14はドープされたシリコン基体の形状であることも、または基体上に形成さ れた第1のまたは次の導電層であることもできる。誘電体層16は、総合集積回 路の一部を形成している誘電体CVDのような公知の手順に従って下の層14上 に形成される。堆積させた後に誘電体層16はパターン化され、エッチングされ てステッブ付きバイア及びワイヤー限定が形成される。バイアは導電性の造作1 5の小さい部分を露出させる床30を有している。誘電体層16のエッチングは 、プラズマエッチングを含む一般に知られているいろいろな誘電体エッチングプ ロセスを用いて達成することができる。 図1Aに示す断面図は、ステップ付きバイア及びワイヤー限定が誘電体層16 内に形成されていることを示している。バイア及びワイヤー限定は、下の導電性 の造作15との電気的接触を与える導電性相互接続の堆積を容易にする。限定は 、バイア壁34及び導電性の造作15の少なくとも一部を露出させる床30を有 するバイア32と、溝壁38を有する溝17とを与えている。 図1Bにおいて、反応性物理蒸着を使用して、即ち窒素/アルゴンプラズマ内 でタンタルターゲットをスパッタさせることによって、バイア及びワイヤー限定 上にタンタルまたは窒化タンタル(TaN)のバリア層20を堆積させるが、バイ ア32内には開口18を残すようにする。好ましくは、開口のアスペクト比が 高く(例えば、4:1またはそれ以上)、またバイアの幅がサブミクロンである 場合には、スパッタされるTa/TaNの堆積をイオン化させて基体の負バイアスに よって基体に直角に引かせるように、Ta/TaNは高密度プラズマ環境内で堆積さ せる。バリア層はタンタルまたは窒化タンタルで形成させることが好ましいが、 チタン、窒化チタン、及びそれらの組合わせのような他のバリア層も使用するこ とができる。使用されるプロセスは、組織及び薄膜特性の改善のために、PVD 、CVDまたは組合わせCVD/PVDであることができる。バリア層は、半導 体基体及び誘電体層内への銅の拡散を制限し、それによって相互接続の信頼性を 劇的に向上させる。バリア層の厚みは約25Å乃至約400Åであることが好ましい が、最も好ましいのは約100Åである。 図1Cにおいては、PVD銅シード層21がバリア層20の上に堆積されてい る。他の金属、特定的には貴金属もシード層として使用することができる。PV D銅シード層21は、爾後に堆積される金属層、並びにその上に銅を均一に成長 させるようになっている共形(conformal)層を良好に付着させる。 図1Dにおいては、銅層22がPVD銅シード層21上に電気めっきされ、バ イア32を銅プラグ19で完全に充填している。 図1Eでは、構造10のトップ部分、即ち露出している銅が、好ましくは化学 機械研磨(CMP)によって平面化されている。平面化プロセス中に、銅層22 、銅シード層21、バリア層20、及び誘電体層16の部分が構造のトップ表面 から除去され、導電性相互接続39を有する完全に平坦な表面が残される。 金属の電気めっきは一般に公知であり、いろいろな技術によって達成すること ができる。ウェーハをベースとする基体上に金属を電気めっきするためのセルの 普通の設計は、ファウンテン形態を含む。基体は、めっき表面が円筒形の電解液 容器上の固定された距離にあり、且つ電解液が基体のめっき表面上に直角に衝突 するように位置決めされる。基体はめっきシステムの陰極であり、めっき溶液内 のイオンは基体の露出した導電性表面、及び基体のマイクロサイト上に堆積する 。しかしながら、多くの障害が、サブミクロンスケール、高アスペクト比特色を 有する基体上への銅の一貫した、信頼できる電気めっきを妨害する。一般的に言 えば、これらの障害は、均一な厚みを有する金属層を形成させるために必要な基 体 のめっき表面全体に均一な電流密度分布を生じさせることを困難にすることを含 む。主な問題点は、どのようにして電流を基体へ到達させるか、及びどのように して電流を基体上に均一に分布させるかである。 電力をめっき表面に供給する現在の一方法は、基体シード層に接触させた接点 (例えば、ピン、“指”、またはばね)を使用している。接点は、実際にできる 限り基体の縁の近くにおいてシード層に接触させ、接点が存在することによって ウェーハ上の領域が無駄にならないようにしている。この「除外」領域は、基体 上にデバイスを最終的に形成させるためには使用することはできない。しかしな がら、シード層への接点の接触抵抗は接点毎に変化し得るので、基体全体として 電流密度は均一に分布しなくなる。また、シード層界面への接点における接触抵 抗は基体毎に変化し得るので、同一の機器を使用しても基体が異なればめっき分 布が一貫しなくなる。更に、基体上に堆積された薄いシード層の抵抗のために、 めっき速度は接点に近い領域では高く、接点から離れた領域においては低くなる 。めっきされた領域の縁に形成される高度に局所化された電場のために、電場の フリンジング効果も発生して基体の縁付近における堆積速度が高くなる。 典型的には基体堆積表面上のシード層及び電気めっき層が薄いので、通常は基 体の抵抗効果は電気めっきプロセスの初期の段階において著しく、堆積の均一性 を低下させる。金属めっきは電流供給接点付近に濃縮する傾向がある。即ち、め っき速度は接点の近傍で最大になる。これは、シード層上の導電性材料が基体の めっき表面全体に均一な電流密度を与えるには不十分であるために、電流供給接 点からの距離が大きくなるにつれて基体を横切る電流密度が減少するからである 。めっきによって堆積薄膜層が厚くなってくると、基体のめっき表面全体にわた って基体全体に均一な電流密度を与えるのに十分な厚みの堆積材料が使用可能に なるので、基体の抵抗効果が減少する。電気めっき中は、この基体の抵抗効果を 減少させることが望ましい。 従来のファウンテンめっき装置設計においては、基体めっき表面全体の電解液 の流れも均一ではない。そのためめっきイオンの補充が均一ではなくなり、めっ き表面の不均一電流分布効果に組合わされることになり、また基体全体に添加物 のめっきが適用可能である場合には、不均一なめっきがもたらされる。基体全体 の不均一な電解液の流れは、めっきプロセス中に基体を高速で回転させることに よって改善することができる。このような回転は、界面を横切って、及び界面を 回転させながら電流を供給する必要があることから、めっきセル設計に複雑さが 導入される。しかしながら、そのようにしても、基体の縁付近の電場のフリンジ ング効果、シード層抵抗、及び潜在的に可変の接触抵抗のために、基体の境界ま たは縁におけるめっきの均一性は劣る。 1めっきサイクルにわたって、及び/またはめっき中の複数のウェーハの1つ を実行している期間にわたって、システムへの電気めっき溶液が一貫した特性を 有するように維持する問題も存在する。従来のファウンテンめっき装置設計は、 一般に、電解液内に堆積させる金属を絶えず補充する必要がある。金属電解液補 充計画は制御することが困難であり、電解液内にコイオン(co-ions)を累積さ せるので、電解液内のイオン濃度の変化を制御することが困難になる。従って、 電解液内のイオン濃度が一貫しないために、電気めっきプロセスは一貫しない結 果を生ずるようになる。 更に、非消耗陽極を組込んだめっきセルの動作は電気めっき動作中に陽極上に 酸素を放出するために、気泡関連問題をもたらし得る。気泡関連問題は、気泡が 基体のめっき表面に到達し、十分な電解液をめっき表面と接触させないようにす ることによってもたらされるめっき欠陥を含む。システムから気泡の形成を排除 乃至は減少させ、また形成された気泡をシステムから除去することが望ましい。 従って、基体上に均一な、高品質の金属層を堆積させてサブミクロン造作を形 成させるための、信頼できる一貫した金属電気めっき装置及び方法に対する要望 が継続している。また、特色内にボイドを生じさせることなく造作を充填するた めに、ミクロンサイズで、高アスペクト比の造作を有する金属層を基体上に形成 させる要望も存在している。 発明の概要 本発明は、基体上への信頼できる一貫した金属電気めっき、または電気化学的 堆積を達成する装置及び方法を提供する。より詳しく述べれば、本発明は、基体 上に形成されたサブミクロン造作を有する基体上に、均一でボイドの無い金属の 堆積と、その上に形成された金属シード層とを提供する。本発明は、基体ホール ダと、基体めっき表面と電気的に接触している陰極と、電解液入口、電解液出口 、及び基体を受入れるようになっている開口を有する電解液コンテナ、及び電解 液に電気的に接続されている陽極からなる電気化学堆積セルを提供する。堆積セ ル及びその成分の形態及び寸法は、基体全体に均一な電流分布を与えるように設 計されている。セルには、流れを通過させる陽極、及びダイヤフラムユニットが 設けられており、これらは配置が容易な組合わせに構成され無粒子電解液を比較 的均一な流れにする。更に、基体を1つまたはそれ以上の方向に、即ちx、y及 び/またはz方向に振動させるための攪拌デバイスを基体ホールダに取付けるこ とができる。更にまた、基体の表面全体に均一な堆積が得られるように、及び基 体の縁と接点とにおける電場を必要に応じて形成するための補助電極を、電解液 出口付近に配置することができる。更にまた、周期的に反転する電流及びパルス 化電流を含む時間的に変化する電流波形をめっき期間中に印加して、基体上のサ ブミクロン造作内にボイドの無い金属層を得ることができる。 図面の簡単な説明 本発明の上述した特色、長所、及び目的は、以下の添付図面を参照しての詳細 な説明からより一層明白になるであろう。 しかしながら、添付図面は単に本発明の典型的な実施の形態に過ぎず、本発明 は他の同じように有効な実施の形態にも適用可能であるので、添付図面及び以下 の説明が本発明の範囲を限定するものではないことを理解されたい。 図1A−1Eは、誘電体層内のステップ付き相互接続の断面図であって、この ような相互接続を形成するための金属化技術を示している。 図2は、金属を半導体基体上に電気めっきするためのセルの概要部分垂直断面 図である。 図2aは、基体ホールダ上の基体と接触している連続リング陰極部材の部分断 面図である。 図3は、基体の周辺に配置されている接触ピンの放射状アレイからなる陰極接 触部材と、補助電極の1つの配列例を示すセルボディの斜視図である。 図4は、各接触ピン及び抵抗を通る電気めっきシステムを表す電気回路の回路 図である。 図5は、陽極区画内の多孔質ダイヤフラムの間に閉じ込められている可溶性銅 ビードを含む堰めっき装置の概要部分垂直断面図である。 図6A及び6Bは、複数の基体を処理するユニットの実施の形態の概要図及び 回路図である。 図7は、複数の基体をバッチ処理するユニットの別の実施の形態の概要水平断 面図である。 好ましい実施の形態の詳細な説明 本発明は、一般的には、高品質金属層を基体上に堆積させるための新しい電気 化学セルの幾つかの実施の形態、及び該セルの動作方法を提供する。本発明はま た、金属(特定的には、銅)を極めて小さい造作、即ちミクロンサイズの、及び より小さい造作内に堆積させるのに有利に使用できる新しい電解溶液をも提供す る。以下に本発明を先ずハードウェアに関して説明し、次いでハードウェアの動 作を説明し、そして電解溶液の化学について説明する。電気化学セルハードウェア 図2は、基体上に金属を電気めっきするためのセル40の概要断面図である。 一般的に、電気めっきセル40はコンテナボディ42を備え、コンテナボディ4 2はその上に基体ホールダ44を受けて支持する開口をトップ部分に有している 。コンテナボディ42は、プラスチック、プレクシグラス(アクリリック)、レ クサン、PVC、CPVC、及びPVDFのような電気絶縁材料からなる環状セ ルであることが好ましい。代替として、コンテナボディは、例えば、テフロン( 登録商標)、PVDF、プラスチックまたはゴム、またはセルの電極(即ち、陽 極及び陰極)を電気的に絶縁することができ、電解液内に溶解しない他の材料の 組合わせのような絶縁層で被覆されているステンレス鋼、ニッケル、またはチタ ンのような金属で作ることができる。基体ホールダ44はコンテナボディのトッ プカバーとして役立ち、その下面に位置決めされている基体保持表面46を有し て いる。コンテナボディ42は、形状が典型的には方形、矩形、または円形である 処理中の基体48の形状と、その上のめっき領域のサイズとに順応するようにな っており、またそのようなサイズであることが好ましい。 電気めっき溶液入口50がコンテナボディ42の底部分に配置されている。電 気めっき溶液は、入口50に接続されている適当なポンプ51によってコンテナ ボディ42内へポンプされ、コンテナボディ42の内側を上方へ基体48へ向か って流れて露出した基体表面54と接触する。 基体48は、好ましくは真空チャック(図示してない)を形成するように真空 を維持することが可能な表面46内の複数の通路によって、基体ホールダ44の 基体保持表面46上に確保される。陰極接触部材52が基体ホールダ44の下面 に配置され、基休をコンテナ上に支持する。陰極接触部材52は、電源49と基 体48との間の電気的接続を与える1つまたはそれ以上の接点を含んでいる。陰 極接触部材52は、基体めっき表面54と電気的に接触している連続導電性リン グまたはワイヤー、または複数の導電性接触指またはワイヤー56(図3に示す )からなることができる。図3は、基体の周縁に配置されている接触ピン56の 放射状アレイからなる陰極接触部材を有する基体ホールダ44の分解斜視図であ る。接触ピン56(8本が図示されている)は基体48の縁上を放射状に内向き に伸び、接触ピン56の先端が基体48上の導電性層と接触し、それによって基 体めっき表面への良好な電気接触を与える。また電解液の流れに対して接触ピン の放射状アレイがバリアになることは無視することができ、基体のめっき表面付 近の電解液の流れに対する妨害は最小である。代替として、陰極接触部材は、連 続的なリングまたは半連続的なリング(即ち、セグメント化されたリング)とし て基体の縁に接触させることができる。 陰極接触部材52は、基体めっき表面54へ電流を供給して電気めっきプロセ スを可能ならしめ、従って金属または半金属導体からなることが好ましい。接触 部材52は、電解液に曝される接触部材の表面がめっきされないようにするため の非めっき、即ち絶縁性被覆を含むこともできる。陰極接触部材上のめっきが接 触部材付近の電流及び電位分布を変化させることができ、ウェーハ上に欠陥をも たらしかねない。非めっき、即ち絶縁性被覆材料は、テフロン(登録商標)、P VDF、PVC、ゴム、または適切なエラストマーのようなポリマー被覆からな ることができる。代替として、接触部材はタンタル(Ta)、窒化タンタル(TaN )、窒化チタン(TIN)、チタン(Ti)、またはアルミニウムのような、銅によ って被覆されることに抵抗する金属で作ることができる。被覆材料は接点上への めっきを防ぎ、接点を通して基体の表面へ予測できる導電特性を保証する。もし 接触部材が、セルの化学的環境には安定であるが、めっきプロセスを通して銅で 被覆することができる白金、金、及び/またはそれらの合金のような金属で作ら れていれば、接触部材は絶縁性シート、エラストマーガスケットまたは被覆によ って保護することが好ましい。接点は、基体表面に対して低い接触抵抗を呈する か、または、特に接触領域において、基体表面に対して低い接触抵抗を呈する材 料で被覆することが好ましい。それらの例は、銅または白金を含む。陰極接触部 材52の接触領域上のめっきは、導体の物理的及び化学的特性を変化させ、また 結果的に接触性能を劣化させ得るので、めっきに変化または欠陥をもたらすよう になる。従って、接触領域は、接点が基体と物理的に接触する領域の外側の接触 部材上に配置されたその領域を取り囲む絶縁リング、スリーブ、ガスケット、ま たは被膜によって電解液から絶縁することが好ましい。これらの被膜の例は、P VDF、PVC、テフロン(登録商標)、ゴム、または他の適切なエラストマー を含む。もし接触部材がめっきされ始めれば、陽極電流が短時間の間に周期的に 接点を通過して接点部材を消耗させ得る。この若返りプロセスのための陰極は、 正規の陽極(逆バイアスされた)であることも、または後述する補助電極である こともできる。 典型的には、陰極接触部材の全ての接触ピンが1つの電源に接続されているの で、接触ピンを通る並列回路が形成される。ピン位置の間でピンと基体との界面 抵抗が変化すると、最低抵抗のサイトにより多くの電流が流れ、従ってそこによ り多くのめっきが発生する。しかしながら、各接触ピンと直列に外部抵抗を配置 することによって、各接触ピンと基体接点+基体回路への電源の制御抵抗枝路の 総合抵抗は制御抵抗の抵抗と実質的に等しくなるから、各接触ピンを通って流れ る電流の値または量は、主として外部抵抗の値によって制御されるようになる。 その結果、各接触ピン間の電気的特性の変化は基体上の電流分布に影響しなくな り、めっき表面全体に均一な電流密度が得られ、それがめっきの厚みを均一にす ることに貢献する。陰極接触部材52の放射状アレイ構成の各接触ピン56間の 電流分布を均一にするために、単一の基体のめっきサイクル中に、及び複数の基 体をめっきしている場合には基体間に各接触ピン56と直列に外部抵抗58を接 続する。図4は、陰極接触部材52の各接触ピンと、各接触ピン56と直列接続 された外部抵抗58とを通る電気めっきシステムを表す電気回路の回路図である 。好ましくは、外部抵抗(REXT)58の抵抗値は、回路の他の何れの抵抗性成 分の抵抗よりも大きくする。図4に示すように、各接触ピン56を通る電気回路 は、電源と直列に接続された各成分の抵抗によって表されている。REは電解液 の抵抗を表し、これは典型的には陽極と陰極との間の距離及び電解溶液の組成に 依存する。RAは、二重層及び境界層内の基体めっき表面付近の電解液の抵抗で ある。RSは基体めっき表面の抵抗を表し、RCは陰極接触56の抵抗を表してい る。好ましくは、外部抵抗(REXT)はRE、RA、RS、及びRCの合計よりも大 きくし、例えば>1Ω、そして好ましくは>5Ωである。外部抵抗58は、プロ セスシーケンスが異なる基体の間にも均一な電流分布を与える。 このようにしても、各基体がめっきされるにつれて、複数の基体めっきサイク ルの間に接触ピンと基体との界面抵抗が変化し、最終的には受入れ難い値に到達 し得る。この問題に対処するために、外部抵抗にまたがる電圧/電流を監視する 電子センサ/アラーム60を外部抵抗にまたがって接続することができる。もし 外部抵抗58にまたがる電圧/電流がプリセットされた動作範囲外に降下してピ ンと基体との抵抗が高いことを指示すれば、操作員が問題を解消するまで、セン サ/アラーム60はめっきブロセスを運転停止させるというような補正処置をト リガする。代替として、基体全体の電流分布を均一にするために、各接触ピンに 分離した電源を接続し、別々に制御し、監視することができる。 接触ピン配列の代替は、基体の周縁と接触する連続リングからなる陰極接触部 材52である。図2Aは、基体ホールダ44内に配置された基体48と接触して いる連続リング陰極部材52の部分断面図である。連続リング陰極部材52は、 基体めっき表面54と陰極との接触を最大にし、また個々の接触ピンの問題を排 除することによって電流分布の不均一性を最小にする。 再度、図2を参照する。めっき溶液、または電解溶液が基体の裏側へ移動しな いように、ウェーハの裏側をシールしなければならない。基体が基体ホールダ内 の真空チャックによって保持され、また基体を陰極接触部材52に対してロード しなければならないような一実施の形態においては、基体48の裏側を電気めっ き溶液に対してシールし、陰極接触部材52に対する基体48のローディングを 強化するために、エラストマー(例えば、シリコンゴム)リング62を部分的に 基体ホールダ44内に配置する。図2に示すエラストマーリング62はウェッジ 形リングであるが、他の形状も有効に使用することができる。基体によって圧縮 された時に、エラストマーリングの弾性が基体を陰極接触部材52に押し付けて 良好な電気接触を与え、基体48の裏側のための良好なシールを与える。 オプションとして、基体ホールダ44は、エラストマーリング62によって得 られるシールを強化し、陰極接触部材52と基体めっき表面54との間の電気接 触を改善するために、エラストマーリング62付近に配置されたガス膨張嚢64 を含むことができる。ガス膨張嚢64はエラストマーリング62付近の環状空洞 内に配置され、ガスによって膨張させてエラストマーリング62に圧力を加え、 基体を押してエラストマーリング62に圧力を加えさせ、基体を押して接触部材 52に接触させる。エラストマーリング62と基体48の裏側との間の接触圧を 解放させるには、逃し弁によってガス膨張嚢64からガスを抜き、エラストマー リング62を基体ホールダ44内へ収縮させる。 基体ホールダ44は、基体の基体めっき表面54がコンテナボディ42の開口 と対面するようにコンテナボディ42上に位置決めされている。基体ホールダ4 4は、コンテナボディ42のトップ部分に接続されている外側リング66上に配 置されている。絶縁用Oリング68が基体ホールダ44と、外側リング66の肩 との間に配置されている。好ましくは、基体ホールダ44は傾斜した下側部分7 0を含み、この下側部分70はコンテナボディ42の傾斜した上側縁に対応し、 両者が一緒になって基体ホールダ44とコンテナボディ42との間に電解液を流 すための約1mm乃至約30mmの少なくとも部分的な周縁出口74を形成する。 出口74は、コンテナボディ及びカバーの周縁の周囲に伸びていることが好まし いが、代替として図3に示すようにセグメント化し、セグメント化されている補 助電極84付近の空間に対応する電解液流出口を設けることができる。異なるめ っきプロセス要求を受入れるために、出口の幅は、基体ホールダ44をコンテナ ボディの上側表面に対して昇降させることによって調整することができる。好ま しくは、出口の幅は約2mm乃至約6mmである。出口74は、電解液の外向き の流れを強化し、澱み循環コーナー(気泡を捕える可能性がある)を最小にする ために、狭い傾斜した流出口を有していることが好ましい。図2に示すように、 出口74は約45°の下向き勾配の電解液流出口になっている。電解液流出口74 は、外側リング66の肩の内面とコンテナボディ42の外面との間の空間を通っ て続いている。次いで電解液は、ポンプ(図示してない)に接続されている1つ またはそれ以上の出口78から流出し、入口50を通って電解液セル40を再循 環する。 コンテナボディ42の上面に配置されているリング、またはスリーブ挿入物8 0は、基体のめっき領域を精密に限定するために使用することができる。挿入物 80は、電気めっきセルを200mm及び300mmサイズを含むいろいろな基体サイ ズ、及び円形、矩形、方形等を含む形状に適合させるために、モジュールとして 交換可能である。コンテナボディ42のサイズ及び形状は、基体のサイズ及び形 状に近似させるために、基体の各サイズ及び形状毎に相応して変化させることが 好ましい。また挿入物80は、めっき表面周縁への電流の流れを制限し、それに よってセルサイズがめっき表面よりも大きい場合に遭遇するフリンジング効果を 減少させることによって、基体48の縁を不均一なめっきから絶縁し、保護する 。 基体上にめっきが発生するにつれて、溶液内のイオンが溶液から基体上へめっ き(堆積)される。付加的なめっき材料を供給するために、めっき表面付近の拡 散境界層を通してイオンを拡散させなければならない。従来の技術においては、 典型的に、補充は基体を通過する溶液の流れ、及び基体の回転による流体力学手 段を通して行われていた。しかしながら、流体力学補充計画は、めっき表面に密 着している電解液の速度が0であって澱んでいる境界層においてはスリップ状態 が存在しないために補充が不十分である。これらの制約を解消し、補充を増加さ せるために振動撹拌部材82が設けられており、基体の表面における物質移動速 度(境界層の厚み)を制御する。振動撹拌部材82は、基体48を振動させるた めに基体ホールダ44に取付けることが好ましい。普通、振動攪拌部材82は、 基体ホールダ44を1つまたはそれ以上の軸上で約10Hz乃至約20,000Hzの周 波数で前後に運動させる電動機または振動変換器からなる。振動の振幅は、約0. 5ミクロン乃至約100,000ミクロンであることが好ましい。振動攪拌部材82は、 基体をx−y方向に振動させるように基体めっき表面54に平行な第2の方向に 、またはx−z方向に振動させるように基体めっき表面54に直角の方向に付加 的な振動を与えることもできる。代替として、振動攪拌部材82は基体をx−y −z方向のような複数の方向に振動させることができる。 振動の周波数は、堆積プロセスが必要とする物質移動速度に合わせるために、 めっきサイクルに同期させることができる(詳細は後述する)。従来の電気めっ きシステムに、この特色を組み入れることはできない。それは、従来の電気めっ きシステムにおいては流体の慣性のために、ポンプされて誘導された電解液の流 れを高周波数で中断させたり、反転させたりすることができないからである。振 動は、めっきサイクルの完了後に、基体表面から残留めっき溶液及びすすぎ溶液 の除去を促進する。 振動攪拌に加えて、基体ホールダ44を完全に、または部分的に回転させ、め っき厚の均一化を更に高めることもできる。回転アクチュエータ(図示してない )を基体ホールダ44に取付け、基体ホールダを基体ホールダの中心を通る中心 軸上でスピンさせる、または振動手法で部分的に回転させることができる。めっ き表面を電解液に対して回転運動させると、めっき表面全体を新鮮な電解液によ り多く曝すようになり、堆積の均一性が改善される。 基体48を振動させることの別の利点は、振動がバイア及び溝を新鮮な電気め っき溶液に曝させることである。基体付近の溶液内の堆積金属が枯渇し始めても 、基体の往復動がバイア及び溝に接する領域に新鮮な電気めっき溶液(好ましく は、高濃度の銅または他の堆積金属を有する)を補充する。これは、基体めっき 表面上の溝またはバイアの口を、溝またはバイアに対面していなかった溶液(従 って、反応物の枯渇が少ない)の領域に変換することによって達成される。代替 では、基体ホールダ44及び基体48を振動させる代わりに、電解液を振動させ る。振 動変換器(図示してない)をコンテナボディ内に配置して電解液を直接攪拌する ことも、または振動変換器をコンテナボディの外側に配置してコンテナボディを 振動させることによって電解液を間接的に攪拌することもできる。また振動攪拌 部材82は、気泡をめっき表面54から移動させ、セル40から排除するように 励振することによって、気泡関連欠陥を排除するのを援助する。 システムを通って流れる電解液によって運ばれる、または陽極または陰極にお ける電気化学反応によって生成した気泡は、基体設備によりセル内に捕捉され得 る。気泡は、めっきプロセスにおける欠陥を防ぐためにセルから排出することが 好ましい。複数のガス転向羽根を陽極の上に配置し、放出されたガスを電解液コ ンテナの側壁に向かって転向させることができる。一般に気泡はそれらの比重が 小さいのでより高い方へ移動し、気泡は基体に対してほぼ上方へ、そして外向き に流れる電解液と共に流れる。電解液または基体保持部材に加えられる振動は気 泡を基体表面から分離させ、セルから出て行く気泡の運動を強める。好ましくは 、複数のガス解放ポート81(図5に示すような)を基体保持表面46の周縁付 近に設け、基体ホールダ44を通して気泡をセルから排気させる。ガス解放ポー ト81を上向きの角度を持たせて位置決めし、ガス解放スロットを通して電解液 は流出しないが、気泡はセル40から解放できるようにする。電解液がガス解放 ポート81から噴出するのを防ぐために、複数のオプショナルな措置を施すこと ができる。第1に、ガス解放ポートは電解液の静的液頭よりも高く位置決めする ことができる。第2に、ガス解放ポートは、例えばテフロン(登録商標)チュー ブ挿入物によって疎水性になるように処理することができる。第3に、液体流出 を防ぐのに十分な逆ガス圧を、ガス解放ポートの出口を通して外部から加えるこ とができる。最後に、気泡を捕えるのに十分な容積の小さい槽を用いてガス解放 ポートに蓋をすることができる。 陽極電極及び陰極電極の他に補助電極を電解液に接触させて配置し、基体めっ き表面の電場の形状を変化させることができる。補助電極84は、基体上に所望 の電気めっき結果を達成するために、堆積の厚み、電流密度、及び電気めっきセ ル内の電位分布を制御するようにコンテナボディの外側に配置することが好まし い。図2に示すように、補助電極84は、外側リング66の内面に接して外側リ ング66内に配置されている。代替として、図2Aに示すように補助電極84は 、コンテナボディのトップ部分に配置することができる。補助電極84は、それ が陰極として分極される場合には銅堆積が補助電極に累積するので、または補助 電極が陽極として分極される場合には堆積した銅が溶解して粒子を解放するので 、コンテナボディの外側に取付けることが好ましい。補助電極84がコンテナボ ディ42内に配置されている場合には、非付着性の堆積物が剥がれ落ちるか、ま たは溶解した粒子状物質が溶液内に入り、基体めっき表面54と接触して基体上 に損傷または欠陥を生じさせる。補助電極84をコンテナボディ42の外側に配 置することによって、非付着性の堆積物は流出する電解液と共に再循環ポンプま で流れる。流出した電解液は濾過され、非付着性堆積物はシステムから除去され る。更に、電解液の流量はコンテナボディ42の外側において比較的高いので( 基体めっき表面54付近の流量に比して)、非付着性堆積物は補助電極84上に 発生しにくい。補助電極をコンテナボディの外側に配置することの別の利点は、 別のモジュラー補助電極ユニットを電気めっきセル上で交換することによって、 定期的な保守を容易に遂行できることである。しかしながら、補助電極をコンテ ナボディの内側に配置すると、より高度な制御が可能になり、堆積をより高度に 均一化することができる。 補助電極84は、リング、一連の同心リング、一連のセグメント化リング、ま たは陰極接触ピン56の対応するアレイに合わせて離間させた電極のアレイから なることができる。補助電極84は、基体めっき表面54と同一の面上に位置決 めすることも、または基体48上の電流及び電位分布に合わせて変化する面上に 位置決めすることもできる。代替として、複数の同心リング補助電極を構成し、 異なる電位で付勢することも、または所望のプロセスよるシーケンスで電位を与 えることもできる。図3は、離散接点の効果(接点領域の付近に堆積物の厚みが 局所化する傾向)を解消するために、陰極接触ピン56のアレイに合わせたセグ メント化電極のアレイからなる補助電極84の構成を示している。補助電極84 は、離散接点の局所化効果を等化することによって、電場を成形する。補助電極 84は、堆積時間及び厚みに従って電流/電位を変化させることによって、初期 には抵抗性である基体が、堆積厚み分布に及ぼす不都合な効果を排除するために も使用することができる。電流/電位補助電極84は、電気めっきの初期段階中 の高電流レベルから、電気めっきプロセスの続行に伴って徐々に減少させる電流 /電位まで動的に調整することができる。補助電極は電気めっきプロセスが終了 する前に遮断させることができ、またさまざまなプロセス要求に合わせてプログ ラムすることができる。補助電極の使用は、初期抵抗性基体効果を軽減させるた めの物理的な、調整不能なセルハードウェアの必要性を排除する。また、所望の 堆積物特性に更に合わせるために、補助電極は逆めっきサイクルと同期させるこ とができる。 代替補助電極は、複数の接触点を有するセグメント化された抵抗性材料からな り、接触点からの距離の変化に応じて補助電極の電圧を変化させる。この構成は 、離散陰極接触部材構成に対応する電位変化を与える。補助電極の別の変形は、 離散陰極接触ピンの構成に対応するように電極の幅を変化させるものであり、陰 極接触部材の基体接触点には実効的に高い電圧(及び電流)が供給され、基体/ 陰極接触点間の領域には実効的に低い電圧(及び電流)が供給される。補助電極 と基体の縁との間の距離が増加すると可変幅補助電極によって供給される実効電 圧が低下するので、可変幅補助電極は陰極接触部材が位置している補助電極と基 体の縁との間の距離をより近づけている。 好ましくは、消耗陽極90をコンテナボディ42内に配置して電解液内の金属 源にする。図2に示すように、完全に自己封じされたモジュール状の、可溶性銅 電極90をコンテナボディ42の中央部分の周囲に配置する。モジュール状の陽 極は、多孔質外囲94内に容れられた高純度銅のような金属粒子92または金属 ワイヤー、または有孔または中実金属シートからなる。一実施の形態では、外囲 94は、セラミックまたはポリマー膜のような多孔質材料からなり、その中に金 属粒子92が容れられている。陽極電極接点96が外囲94内に挿入され、金属 粒子92と電気接触している。陽極電極接点96は、チタン、白金、白金被覆し たステンレス鋼のような不溶性導電材料で作ることができ、陽極に電力を供給す るために電源49に接続される。外囲94の多孔質シートはフィルタとして働き 、このフィルタが溶解金属によって生成された粒子を陽極内に閉じ込め続けるの で、基体めっき表面54には無粒子電解液が供給されるようになる。また可溶性 の銅 陽極90は、ガスを放出する不溶性陽極を使用するプロセスとは異なり、ガスを 生成しない電解液を溶液内に供給し、銅電解液を定常的に補充する必要性を最小 に抑える。金属粒子92は、電極96内に容れられた、または閉じ込められたペ レット、またはワイヤー、または有孔板の形状であることができる。これらの形 状は大きい表面積を呈し、また電解液の流れに対して通路を与える。金属粒子の 大きい表面積は、陽極分極及び酸素共進化を含む酸化的副反応を最小にし、周期 的な逆めっきサイクル(詳細は後述)の基体陽極溶解段階中の銅めっきのための 電流密度を中庸にする。もし陽極上の過大な添加物分解のために電解液に曝す表 面積を小さくすることを望むのであれば、多孔板シートの下向きの側(流れに向 かっている側)またはワイヤーを絶縁材料で被覆することが望ましいかも知れな い。 好ましくは、運転中断を最短にするために、及び容易に保守できるようにする ために、陽極90は容易に交換できるモジュール状のユニットである。好ましく は、電解液の流れが基体表面に到達した時に、陽極の溶解、粒子の流動化、及び アセンブリの公差によってもたらされる陽極銅内のレベル変動の効果を無視でき るようにするために、陽極90は基体めっき表面54から1インチより大きい距 離に、より好ましくは4インチより大きい距離に位置決めされる(200mmの基 体の場合)。 図5は、本発明の電気化学堆積セルの代替実施の形態の概要垂直断面図である 。図示の実施の形態は、上述した電気めっきセル40と類似する成分からなる堰 めっき装置100である。しかしながら、コンテナボディは上側環状堰43を含 んでいる。上側環状堰43の上面はめっき表面と実質的に同一のレベルにあるの で、たとえ電解液が堰43を越えて電解液流出間隙74から僅かに流出しても、 めっき表面は電解液と完全に接触し続ける。代替として、堰43の上面をめっき 表面よりも僅かに低く位置決めし、基体めっき表面は電解液が堰43から溢出し た時に電解液の直上にあるように位置ぎめすることによって、電解液がメニスカ ス特性(即ち、毛管力)を通して基体めっき表面に付着する。また、電解液との 接触を効果的にするために、補助電極を電解液流出口により近く再位置決めする 必要があるかも知れない。 厚みが可変で、円錐形のプロファイルを有する多孔質バリアからなる流れ調整 器110をコンテナボディ内の陽極と基体との間に配置し、基体めっき表面を横 切る流れの均一性を高めることができる。好ましくは、流れ調整器110は、基 体の面を横切る離散した位置における電解液の流れに選択された変動を与えるた めに使用されるセラミックまたはポリマーのような多孔質材料からなる。図5は 、多孔質バリアと基体めっき表面との間の電解液の流れを矢印Aで表している。 流れ調整器110は、構造の、従ってウェーハの中心に向かって徐々に薄くなっ ており、この領域を通って基体の中心へ流れる電解液の流れを大きくして基体め っき表面全体の電解液の流量を等化する。流れ調整器が存在しない場合には、電 解液流出口が縁領域付近に位置しているために、電解液の流れは中央部分より縁 部分の方が増加するようになる。また円錐形の流れ調整器110は、基体表面か ら離れて先細になっており、基体の縁において基体表面から最も遠去っている。 好ましくは、流れ調整器の円錐形の先細り及び増加する厚みは、基体めっき表面 全体にわたって電解液の流量が均一になるように、所要電解液流量及び基体めっ き表面のサイズに従って最適化されている。同じ効果は、有孔板を用いても達成 することができる。孔のサイズ及び間隔は、所望の流れ分布が得られるように調 整することができる。 破損した基体片を捕捉するために、破損基体キャッチャー(図示してない)を コンテナボディ内に配置することができる。好ましくは、破損基体キャッチャー はメッシュ、有孔板、または膜からなる。上述した多孔質ウェッジまたは有孔板 は、この目的のためにも役立っている。 電解液を事前に電気分解し、液溜め内に累積した金属及び他の化学堆積物を除 去するために、精製電極(図示してない)を液溜め(図示してない)内に配置す ることができる。精製電極は、連続的に付勢することも、またはシステムの必要 に応じて周期的に付勢することもできる。銅で作られ、陽極に分極されている精 製電極は、浴内に銅を補充するために使用することができる。従って、この外部 電極は浴内の銅濃度を精密に調整するために使用することができる。 精製電極(図示してない)は、陽極、陰極、及び補助電極の分極を精密に決定 するために使用することができる。 電気めっきプロセスが完了すると、電解液はコンテナボディから電解液溜め、 即ち液溜めへ排出することができ、また基体めっき表面上に残留する電解液の薄 膜を除去するためにガスナイフを組み込むことができる。ガスナイフは、中空陽 極電極に接続されている引込み可能なチューブ、または延長空気チューブのよう なガス入口からなり、これはガス流、またはガス/液体分散を供給して電解液を 基体表面から押し退ける。ガスは、基体ホールダ44とコンテナボディ42との 間の空隙を通しても供給され、基体表面に吹きつけられる。 基体から電解液を無くすようにすすぐために、脱イオン水すすぎシステム(図 示してない)を電気めっき内に組み込むこともできる。脱イオン水または他のす すぎ溶液の源を入口50に接続し、入口弁を通して選択的にアクセスさせること ができる。コンテナボディから電解液を排出させた後に、入口50を通して脱イ オン水または他のすすぎ溶液をシステム内へポンプし、コンテナボディ内を循環 させて基体表面をすすぐことができる。処理済みの基体をすすいでいる間は、陰 極及び陽極電源はセル内で滅勢させておくことが好ましい。脱イオン水はセルを 満たし、基体の表面全体を流れて残留する電解液を表面からすすぎ取る。めっき された表面のすすぎを強めるために、振動部材を付勢することができる。すすぎ 水の純度の程度を増すために、複数の分離した脱イオン水の槽を順次に使用する ことができる。1つより多いすすぎ溶液源を使用するために、あるすすぎサイク ルが好ましく完了したならば、次のすすぎサイクルのために次のすすぎ溶液をセ ル内に導入する前に、そのすすぎ溶液をセルから完全に排出させる。使用済みの 脱イオン水はすすぎ溶液によって、または使用済みの脱イオン水をイオン交換シ ステムを通して循環させることによって、すすぎサイクル中に収集した金属トレ ースをプレートアウトすることによって精製することもできる。 図6A及び6Bは、複数の基体を処理するユニットの実施の形態の概要図であ る。複数の基体48が基体ホールダ200上に取付けられ、同数のコンテナボデ ィ202が基体めっき表面を受入れるように位置決めされている。コンテナボデ ィは、共通の電解液溜め204を共用する。しかしながら、各個々の電気めっき セル202は、個々の基体を適切に処理するために個々の電気めっきシステム制 御装置を備えていることが好ましい。 図7は、複数の基体をバッチ処理するユニット208の別の実施の形態の概要 水平断面図である。図7に示す電解液コンテナボディ210は六角形のドラムで あるが、多角形の各面がその上に基体48を取付けるのに十分に大きければ、ど のような多角形ドラムも使用することができる。基体めっき表面54に電流を供 給するために、陰極接触部材212も多角形の各面上に取付けられている。陽極 214は、コンテナボディ210内に回転可能なように取付けられている同心多 角形ドラムからなることが好ましい。代替として、陽極214は、コンテナボデ ィ210内に同心的に取付けられている円筒形ボディからなることができる。コ ンテナボディ210は、基体を受入れるための複数の基体空洞を有する円筒形ボ ディであることもできる。また、多角形の各面上に複数の基体を取付けることも できる。 複数の補助電極216を、セルの多角形の各コーナーに配置することができる 。代替として、図3に示す補助電極の配列と類似して、リング状の、またはセグ メント化リング補助電極218を、陰極接触部材212に合わせて各基体48の 周囲に配置することができる。好ましくは補助電極は初期堆積期間の後に、抵抗 性基体効果が漸減するにつれて補助電極の電流を徐々に減少させることによって 、基体全体の電流分布を保証するように動的に調整する。多孔質セパレータ/フ ィルタ(図示してない)を、陽極と陰極との間に配置して粒子を捕捉することが できる。 振動攪拌部材(図示してない)を、コンテナボディに接続して基体を振動させ ることができる。しかしながら、電解液を高度に攪拌するために多角形陽極ドラ ムを十分に速く回転させる(好ましくは、約5回転/分(RPM)乃至100RP M)場合には、基体を振動させる必要はないかも知れない。回転多角形陽極は、 活動陽極表面と基体との間の距離が回転のために変化することから、パルス化ま たは過渡的な電力(電圧/電流組合わせ)をも供給する。陽極の形状が多角形で あるので、陽極が回転すると陰極と陽極との間の距離は、陽極多角形の面が陰極 多角形の面と平行に整列する時の最大から、陽極多角形のコーナーが陰極多角形 のコーナーの中心と整列する時の最小まで変化する。陽極と陰極との間の距離が 変化すると、陽極と陰極との間の電流が相応に変化する。 別の変形は、水平に位置決めされた多角形ドラムからなる。コンテナボディは 水平軸を中心として回転し、多角形の1つの面をトップに位置決めして基体をロ ーディングまたはアンローディングできるようにし、その間も他の基体はまだ処 理中である。 更に別の変形は、内側多角形ドラムの外面に基体を取付けるようになっている 。この場合、内側多角形ドラムが陰極であり、コンテナボディが陽極になる。こ の構成によれば、陰極ドラムを電解液から持ち上げることができ、基体のローデ ィング及びアンローディングが容易になる。動作条件 本発明の一実施の形態においては、物質移動境界層の厚み、及び堆積される材 料の粒度を制御するために、周期的な反転電位及び/または電流パルス、または 間欠パルス電流が基体に供給される。周期的な反転及びパルス電流/電位は、堆 積物の厚みの均一性をも高める。堆積段階及び溶解段階の両者のためのプロセス 条件は、所望の堆積プロファイル(普通は、均一で平らな表面)を与えるために 調整することができる。一般的に言えば、電流密度が低いと堆積物の均一性が促 進されるために、めっき/堆積は比較的長い時間をかけて比較的低い電流密度で 達成される。また電流密度が高いと堆積したピークを選択的に剃り落とす、また は溶解するために、溶解は比較的短い時間で比較的高い電流密度を用いて達成さ れる。 粒度が予め決まっている場合、短時間の間に高めの負電流密度からなる電流パ ルスを印加して(約0.1乃至100msの間に約50mA/cm2乃至約180mA/cm2 )銅堆積物の初期層を核形成させ、次いで長時間にわたって定電流を印加して (数分までにわたって約5mA/cm2乃至約80mA/cm2)堆積を続行させる 。堆積時間の長さは、基体表面上に所望の堆積厚みを達成するために、堆積速度 に従って調整することができる。 高アスペクト比の溝、バイア、または他の相互接続造作を完全に充填するため に、堆積した金属のある溶解を達成するような電流反転または溶解期間を適用す ることができる。溶解期間は、堆積電流の電流密度よりも遙かに高い電流密度で はあるが、正味の堆積を確保するために短時間で適用することが好ましい。溶解 期間は、所望の結果を得るために、堆積プロセス中に一回または周期的に適用す ることができる。堆積期間を複数の短い時間に分割し、同数の幾分短めの溶解期 間を後続させて高アスペクト比相互接続造作を完全に充填する。次いで、定堆積 電流密度を印加してフィールド全体に均一な堆積厚みを達成する。典型的には、 堆積サイクルは約5mA/cm2乃至約40mA/cm2の堆積電流密度からなり、 それに続く溶解電流密度は約5mA/cm2乃至約80mA/cm2からなる。高ア スペクト比の造作を完全に、ボイドが無いように充填するために堆積サイクルを 繰り返し、最後に堆積電流密度を印加して基体めっき表面全体に均一なフィール ド堆積厚みを形成させる。代替として、電流反転/溶解サイクルは、一定の反転 電流密度の代わりに一定の反転電圧を供給することによって達成することができ る。 めっきサイクルの始まりには抵抗性基体効果が優勢であるので、初期めっき中 には比較的低い、好ましくは約5mA/cm2の電流密度を印加する。低電流密 度はめっき表面全体を実質的に均一に極めて共形のめっきを生成し、堆積物の厚 みが増加するにつれて電流密度は徐々に増加される。また、めっきプロセスの初 期段階中は溶解のための電流反転は行わないので、金属シード層が溶解する危険 性はない。しかしながら、もしストライキングまたは核形成の目的で電流反転を 導入するのであれば、金属シード層が顕著に溶解しないように反転電流密度の大 きさは低くする。 オプションとして、堆積期間と溶解期間との間に休止期間を設けると、枯渇し た濃度プロファイルを回復することができ、また堆積特性が改善される。例えば 、堆積期間と溶解期間との間の電流/電圧が印加されない休止期間により、電解 液をプロセスのための最適条件に戻すことができる。 好ましくは、振動周波数、パルス及び/または周期的な逆めっき、補助電極電 流/電圧、及び電解液の流れは、全て最適堆積特性のために同期させる。同期の 一例は、堆積中に境界拡散層が最小になるように堆積期間中に限って振動を与え 、溶解が物質移動制御の下で進行するように溶解期間中には振動を加えないこと である。 めっき中にシード層への金属の付着を改善するために、めっきサイクルの始ま りに極めて短い高電流密度ストライクを印加する。気泡関連欠陥を最小にするた めにストライクは短くなければならず、電流密度は水素が発生する値を越えては ならない。好ましくは約100mA/cm2乃至約1000mA/cm2であるこの電流 密度は、参照電極に対して−0.34V(陰極)を超えない過電位に対応する。金属 めっき材料を付着させるためには、異なる電極を使用する分離したストライキン グプロセスが必要であり得る。分離したストライキングは、異なる電解液を有す る分離したセル内において、または異なる電解液を導入及び排出させることによ って同一のセル内において達成することができる。分離したストライキングのた めに使用される電解液は、典型的には金属濃度がより希薄であり、シアン化物を ベースとする調合であることさえできる。 金属シード層は、電解液の交換電流密度(銅の場合、約1mA/cm2)によ って電解液内へ溶解し易い。例えば、1500Åの銅は、電流を印加しなくとも約6 分で電解液に溶解させることができる。シード層が電解液内へ溶解する危険性を 最小にするために、基体を電解液内に導入する前に基体にある電圧を印加する。 代替として、基体が電解液と接触するのと同時に電流を印加する。堆積電流が基 体めっき表面に印加されると、堆積電流の方が電解液の平衡交換電流密度より優 勢であるので、金属シード層は電解液内への溶解しないように保護されるように なる。 本発明は、周期的逆めっき中に原位置の電気的な平面化を行う。好ましくは、 プロセスの終わりに溝、バイア、及び他の相互接続造作が完全に充填され、平面 化されるように、単一のパルスまたは一連の迅速なパルス中に堆積及び溶解の両 ステップを組み入れる。電気化学的平面化ステップは、溶解中に高電流密度を適 用することからなる。例えば、電気化学的平面化ステップとしての約45秒間にわ たる約300mA/cm2の溶解反転電流密度は、残留ディンプルが約0.03μmでし かない実質的に平らな表面をもたらす。この電気化学的平面化は、化学・機械的 研磨(CMP)の必要性を実質的に減少させ、若干の応用においてはCMPの必 要性を排除することさえできる。化学 銅を高密度で有する(例えば、>0.5M、及び好ましくは0.8M乃至1.2M)電 解液は、サブミクロンの造作をめっきする場合に当面する物質移動制約を解消す るのに有益である。詳述すれば、高アスペクト比を有するサブミクロンの造作の 中は最小の電解液しか流れないか、または全く流れないから、イオン移動はこれ らの小さい造作内へ金属を堆積させる拡散のみに頼っている。電解液内の高濃度 の(約0.8Mまたはそれ以上であることが好ましい)銅は、拡散束の大きさがバ ルク電解液濃度に比例するので、拡散プロセスを強化し、物質移動制約を排除す る。好ましい金属濃度は、約0.8乃至約1.2Mである。一般的に言えば、金属濃度 が高い程良好であるが、金属塩が沈殿する可溶性の限界に到達しないように注意 を払わなければならない。 普通の銅めっき用電解液は、電解液に高導電度を与えるために高い硫酸濃度( 約1M)を含んでいる。高い導電度は、従来の銅電気めっきセルのセル構成によ ってもたらされる堆積の厚みの不均一性を減少させるために必要である。しかし ながら、本発明(セル構成を含む)はより均一な電流分布を提供する。この状況 では、抵抗性基体効果が高度に導電性の電解液によって増幅されるので、高い酸 濃度は堆積の均一性にとって有害である。更に、高度に導電性の電解液は高い反 転電流密度をもたらして不均一性を促進するので、周期的な反転サイクル中の溶 解ステップの電解液導電度は比較的低くする必要がある。また酸または塩基のよ うな支持電解質の存在は、前述したように良品質のめっきには不可欠のイオン物 質移動速度を引き下げることになる。また、共通イオン効果が排除されるために 、硫酸濃度が低い程硫酸銅濃度は高くなる。更に、特に可溶性銅陽極の場合、低 めの酸濃度は有害な腐食及び材料安定性の問題を最小にする。従って、本発明は 酸を有していないか、または極めて低い酸濃度を有する電気めっき溶液を企図し ている。好ましくは、硫酸濃度は0(存在せず)乃至0.2Mの範囲内にある。更 に、純粋な、または比較的純粋な銅陽極をこの配列に使用することができる。 硫酸銅の他に、本発明は、高い可溶性及び他の有益性を与えるグルコン酸銅及 びスルファミン酸銅のような硫酸銅以外の銅塩、並びに硝酸銅、燐酸銅、塩化銅 等のような塩を企図している。 本発明は、銅イオン及び銅金属により良き錯体性及び/または可溶性を与えて 改善された堆積特性をもたらすような、硫酸以外の酸を電解液内へ添加すること をも企図している。これらの化合物は、アントラニル酸、酢酸、クエン酸、乳酸 、スルファミン酸、アスコルビン酸、グリシド酸、蓚酸、ベンゼンジスルホン酸 、酒石酸、及び/またはリンゴ酸を含む。 本発明は、反転めっきサイクル中の高アスペクト比造作の充填を高めるために 、非対称陽極移動係数(α)及び陰極移動係数(β)を発生させる添加物をも企 図している。 基体めっき表面を完全に濡らして小さい造作内の電気めっきプロセスを強化す るために、基体めっき表面へ超純水を導入することができる。基体めっき表面を 事前に濡らすために、水蒸気も使用することができる。 界面活性剤は、溶液の表面張力を小さくすることによって濡れを改善する。本 発明が企図している界面活性剤は、スルホン酸キシレンナトリウム、ポリエーテ ル(酸化ポリエチレン)、カーボワックス、安息香酸ナトリウム、ADMA8アミン 、Adogen、Alamine、Anlaizo、Brij、Crodeata、Dapral、Darnyl、ジドデシルメ チルプロパンスルタイン、Dowex、Empol、Ethomeen、Ethomid、Enordet、Genero l、Grinoten、Heloxy、ヘキサデシルトリメチルアンモニウム、臭化物、Hyamine 、Hysoft、Igepal、Neodol、オクタデシルベンジルプロパンスルタイン、オクシ ルベタイン、Peganate、Pluronic、Polystep、Span Surfynol、Tamol、Tergitol 、Triton、Trilon、Trylox、Unithox、Varonic、Varamide、Zonyl、ベンジルメ チルプロパンスルタイン、アルキルまたはアリールベタイン、アルキルまたはア リールスルタインを含む。 レベラーは、堆積物の厚みの均一性を改善する。光沢剤は、結晶構造の均一性 を高めることによって堆積物表面の反射率を改善する。グレンリファイナーは、 堆積させるより小さい粒子を発生させる。レベラー、光沢剤、及びグレンリファ イナーは、本発明により提供される低酸・高銅電解液に対して特別に調合し、最 適化することができる。本発明に使用するためにこれらの配合物を最適化する場 合、必要な周期的反転電流の効果も考慮される。本発明によって企図されている レベラー、光沢剤、及びグレンリファイナーは、 無機微量成分:Se、As、In、Ga、Bi、Sb、Ti、またはTeの塩; 及び/または 次のものから選択された(単独で、または組合わせて)有機微量成分:アセチ ル補酵素、アミノチオール;アクリルアミン;アゾ色素;アルカンチオール,ア ロクサジン;2-アミノピリミジン;2-アミノ-1,3,4,チアジアゾール;アミノメ チルチアジアゾール;2-アミノチアジアゾール;3-アミノ1,2,4,トリアゾール; 、ベンザルアセトン,ベンゾプルプリン;ベンゾフノン,ベンゾトリアゾール, ヒドロキシベンゾトリアゾール,ベチジルデンアセトン,安息香酸,ベンゾイル 酢酸エチルエステル,硼酸,カコジル酸,コルカミンピオニンY;カルミン酸; シアン酸アルデヒド,ココベタインまたはデシルベタイン,セチルベタイン,シ ステイン;DETAPAC;2'-,7'-ジクリロロフルオレセイン;デキストロース,ジカ ルボキシル化アミノ酸;ジペプチドジアミノ酸(carnsine=ベータアラニン化ヒ スタジン),5-p-ジメチルアミンベンジルデンローダミン,5-(p-ジメチルアミ ノ-ベンジリデン)-2-チオバルビツル酸、ジチゾン,4-(p-エトキシフニルアゾ)- m-フェニレンジ-アミン,エトキシレーテッドテトラメチルデシネジオール,エ トキシレーテッドクォータナリアンモニウム塩,酢酸ベンゾイルエチル,エトキ シレーテッドベータ-ナフトル,EDTA,エバンブルー,ジエチレントリアミンペ ンタ酢酸または塩,ジエチレントリアミンペンタ酢酸塩,ペンタナトリウム塩, グルカミン,グリセロール配合物,ジ-グリシン,d-グルカミン,トリグリシン ,グリコーゲン,グルターアルデヒド,グルタミン酸,その塩及びエステル(MS G),グルコヘプトン酸ナトリウム,ヒドロキシベンゾトリアゾール,ヒドロキ シスクシニミド,ヒダントイン,4-(8-ヒドロキシ-5-キノリルアゾ)-1-ナフタレ ンスルホン酸,p-(p-ヒドロキシフェニルアゾ)スルホン酸ベンゼン,インスリン ,ヒドロキシベンザルデヒド,イミダゾリン;リグノスルホン酸塩;メチオニン ;メルカプトベンジ-イミダゾール;マルチウスイェロー;2-メチル-1-p-トリル トリアゼン,3-(p-ニトロフェニル)-1-(p-フェニルアゾフニル)トリアゼン;4-( p-ニトロフェニルアゾ)レゾルシノール,4-(p-ニトロフェニルアゾ)-1-ナフトー ル,OCBA-オルトクロロベンズアルデヒド,プロピオン酸フェニル,ポリオキシ エチレンアルコール,クォータナリアンモニウムエトキシレーテッドア ルコール,及びそれらのフリーアシド(fullyacid)エステル、ポリエチレンイ ミン,ホスファ脂質,スルファサリチル酸,直鎖アルキルスルホン酸塩、スルフ ァセットアミド,ソロクロームシアニン;砂糖;ソルビトール,グルコヘプトン 酸ナトリウム,グリセロ燐酸ナトリウム,ナトリウムメルカプトベンゾトリアゾ ール,テトラヒドピラニルアミド,チオカルボキシルアミド,チオカルボニル- ジ-イミドアゾール;チオカルバミド,チオヒダントイン;酢酸チオニン,チオ サリチル酸,2-チオールヒスタジン,チオニン,チオジカルブ,チオグリコール 酸,チオジグリコール,チオジグリコール酸,チオジプロピオン酸,チオグリセ ロール,ジチオ安息香酸,テトラブチルアンモニウム,チオスルホン,チオスル ホン酸;チオニコチンアミド,塩化または臭化チオニル;チオ尿素;TIPA;トリ ルトリアゾール,トリエタノールアミン;トリ-ベンジルアミン;4,5,6,トリア ミノピリミジン;キシレンシアノール。 以上に本発明の好ましい実施の形態を説明したが、本発明の基本的な範囲から 逸脱することなく本発明の他の及びさらなる実施の形態を考案することが可能で ある。本発明の範囲は請求の範囲によって限定されるものである。

Claims (1)

  1. 【特許請求の範囲】 1.基体めっき表面を有する基体上に金属を電気化学的に堆積させるための装置 であって、 a)上記基体めっき表面が電解液コンテナ内の電解液に曝される位置に上記 基体を保持する基体ホールダと、 b)上記基体めっき表面と電気的に接触している陰極と、 c)電解液入口、電解液出口、及び上記基体めっき表面を受入れる開口を有 する電解液コンテナと、 d)上記電解液に電気的に接続されている陽極と、 を備えていることを特徴とする装置。 2.上記基体ホールダは、 i) 基体保持表面を有する真空チャックと、 ii) 上記基体保持表面の周囲に配置され、上記基体の周縁部分と接触する エラストマーリングと、 を含んでいる請求項1に記載の装置。 3.上記基体ホールダは、 iii) 上記基体保持表面の縁付近に1つまたはそれ以上の開口を有する1つ またはそれ以上の気泡解放ポート、 を更に含んでいる請求項2に記載の装置。 4.上記基体ホールダは、 i) 基体保持表面を有する真空チャックと、 ii) 上記基体保持表面の周囲に配置され、上記基体の周縁部分と接触する ガス嚢と、 を含んでいる請求項1に記載の装置。 5.上記陽極は、 i) 電解液をそれを通して流すための多孔質外囲と、 ii) 上記外囲内に配置されている金属と、 iii) 上記外囲を通して配置され、上記金属に電気的に接続されている電極 と、 を含んでいる請求項1に記載の装置。 6.上記金属は、金属ペレット、金属ワイヤー、及び金属粒子からなるグループ から選択された1つまたはそれ以上の材料からなる請求項5に記載の装置。 7.上記陰極は、上記基体めっき表面の周縁部分に配置されている陰極接触部材 を含み、上記陰極接触部材は上記基体表面と電気的に接触している接触表面を 有している請求項1に記載の装置。 8.上記陰極接触部材は、接触ピンの放射状アレイを含んでいる請求項7に記載 の装置。 9.上記陰極は、各接触ピンと直列に接続されている抵抗を更に含んでいる請求 項8に記載の装置。 10.上記陰極は、上記抵抗を通って流れる電流を監視するために上記各抵抗にま たがって接続されているセンサを更に含んでいる請求項9に記載の装置。 11.上記陰極接触部材は、上記電解液に曝される1つまたはそれ以上の表面に非 めっき被覆を更に含んでいる請求項7に記載の装置。 12.上記雷解液出口は、上記基体めっき表面から放射状に外向きに伸びる上記基 体ホールダ上の第1の表面と、上記電解液コンテナの表面との間の間隙によっ て限定されている請求項1に記載の装置。 13.上記間隙は、約1mm乃至約30mmの間隙幅を有している請求項12に記載 の装置。 14.e) 上記電解液と電気的に接触して配置され、調整可能な電力を供給する 制御電極、 を更に含んでいる請求項1に記載の装置。 15.上記制御電極は、上記電解液コンテナの外側に配置され、上記電解液出口か ら流出する電解液と電気的に接触するようになっている請求項14に記載の装 置。 16.上記制御電極は、電極セグメントのアレイを含んでいる請求項14に記載の 装置。 17.e) 上記基体ホールダに取付けられ、振動を上記基体ホールダに伝えるバ イブレータ、 を更に含んでいる請求項1に記載の装置。 18.上記バイブレータは、1つまたはそれ以上の方向に上記基体ホールダを振動 させるようになっている請求項17に記載の装置。 19.e) 上記基体ホールダに取付けられ、上記基体を通る中心軸を中心として 上記基体を回転させるようになっている回転アクチュエータ、 を更に含んでいる請求項1に記載の装置。 20.e) 上記電解液コンテナのトップ部分に配置され、上記電解液コンテナの 開口を限定しているスリーブ挿入物、 を更に含んでいる請求項1に記載の装置。 21.e) 上記電解液コンテナ内のトップ部分に配置されている流れ調整器ウェ ッジ、 を更に含んでいる請求項1に記載の装置。 22.e) 上記ウェーハめっき表面全体にガス流を供給して残留電解液を除去す るガスナイフ、 を更に含んでいる請求項1に記載の装置。 23.e) 上記電解液コンテナ内のトップ部分に配置されているウェーハキャッ チャー、 を更に含んでいる請求項1に記載の装置。 24.e) 上記陰極及び陽極を監視するための参照電極、 を更に含んでいる請求項1に記載の装置。 25.e) 上記電解液入口に選択的に接続されるすすぎ溶液源、 を更に含んでいる請求項1に記載の装置。 26.e) 上記電解液コンテナ内に配置され、気泡を電解液コンテナ側壁に向か って転向させる気泡転向羽根、 を更に含んでいる請求項1に記載の装置。 27.基体上に金属を電気化学的に堆積させるための方法であって、 a)1) 基体ホールダ、 2) 基体めっき表面と電気的に接触している陰極、 3) 電解液入口、電解液出口、及び上記基体めっき表面を受入れる開口 を有する電解液コンテナ、及び 4) 上記電解液に電気的に接続されている陽極、 を備えている電気化学的堆積セルを準備するステップと、 b)上記陰極及び陽極に電力を印加するステップと、 c)上記基体めっき表面に接触させるように電解液を流すステップと、 を含んでいることを特徴とする方法。 28.上記電解液は、約0.25ガロン/分(gsm)乃至約15gsmを流すようになってい る請求項27に記載の方法。 29.上記陰極及び陽極に電力を印加するステップは、 1) 約1秒乃至240秒にわたって約5mA/cm2乃至約40mA/cm2の陰 極電流密度を印加するステップ、 を含んでいる請求項27に記載の方法。 30.上記陰極及び陽極に電力を印加するステップは、 2) 約0.1秒乃至約100秒にわたって約5mA/cm2乃至約80mA/cm2の 溶解反転電流を印加するステップ、 を更に含んでいる請求項29に記載の方法。 31.上記陰極及び陽極に電力を印加するステップは、 1) 約1秒乃至240秒にわたって約5mA/cm2乃至約40mA/cm2の陰 極電流密度を印加するステップと、 2) 約0.1秒乃至約100秒にわたって約5mA/cm2乃至約80mA/cm2の 溶解反転電流を印加するステップと、 3) 約1秒乃至240秒にわたって約5mA/cm2乃至約40mA/cm2の陰 極電流密度を印加するステップと、 4) 上記ステップ2)及び3)を繰り返すステップと、 を含んでいる請求項27に記載の方法。 32.d)電気化学的堆積セルの電解液と電気的に接触する制御電極を設けるステ ップと、 e)堆積中に、上記制御電極によって供給される電力を調整するステップと 、 を更に含んでいる請求項27に記載の方法。 33.上記制御電極によって供給される電力は、電気化学的堆積プロセスの堆積/ 溶解サイクルと同期して調整される請求項32に記載の方法。 34.d)上記電気化学的堆積セルの成分を振動させるステップ、 を更に含んでいる請求項27に記載の方法。 35.d)上記電気化学的堆積セルの成分を、約10Hz乃至約20,000Hzの振動周 波数で、且つ約0.5ミクロン乃至約100,000ミクロンの振動振幅で振動させるス テップ、 を更に含んでいる請求項27に記載の方法。 36.d)上記基体ホールダを、上記基体を通る中心軸を中心として回転させるス テップ、 を更に含んでいる請求項27に記載の方法。 37.基体上に金属を電気化学的に堆積させるための装置であって、 a) i) 基体保持表面を有する真空チャック、及び ii) 上記基体保持表面の周囲に配置され、上記基体の周縁部分と接触 するエラストマーリング、 を含む基体ホールダと、 b)上記基体めっき表面と電気的に接触している陰極と、 c)電解液入口、電解液出口、及び上記基体めっき表面を受入れる開口を有 し、上記基体めっき表面から放射状に外向きに伸びる第1の表面と、それの表 面との間の間隙によって上記電解液出口を限定している電解液コンテナと、 d)上記電解液に電気的に接続されており、 i) 電解液をそれを通して流すための多孔質外囲、 ii) 上記外囲内に配置されている金属、及び iii) 上記外囲内に配置されている電極、 を含む陽極と、 e)上記電解液と電気的に接触して配置され、調整可能な電力を供給する制 御電極と、 f)上記基体ホールダに取付けられ、1つまたはそれ以上の方向の振動を上 記基体ホールダに伝えるバイブレータと、 を備えていることを特徴とする装置。
JP55332099A 1998-04-21 1999-04-21 電気化学堆積システム及び基体の電気めっき方法 Ceased JP2002506488A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8252198P 1998-04-21 1998-04-21
US60/082,521 1998-04-21
PCT/US1999/008782 WO1999054527A2 (en) 1998-04-21 1999-04-21 Electro-chemical deposition system and method of electroplating on substrates

Publications (1)

Publication Number Publication Date
JP2002506488A true JP2002506488A (ja) 2002-02-26

Family

ID=22171736

Family Applications (1)

Application Number Title Priority Date Filing Date
JP55332099A Ceased JP2002506488A (ja) 1998-04-21 1999-04-21 電気化学堆積システム及び基体の電気めっき方法

Country Status (6)

Country Link
US (2) US6261433B1 (ja)
EP (1) EP0991795B1 (ja)
JP (1) JP2002506488A (ja)
KR (1) KR100616198B1 (ja)
DE (1) DE69929967T2 (ja)
WO (1) WO1999054527A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012007201A (ja) * 2010-06-23 2012-01-12 Lapis Semiconductor Co Ltd めっき装置
JP2014111831A (ja) * 2012-11-27 2014-06-19 Lam Research Corporation 電気めっき中の動的な電流分布制御のための方法および装置
TWI622667B (zh) * 2011-04-14 2018-05-01 Tel Nexx公司 電化學沉積及補充設備
US10017869B2 (en) 2008-11-07 2018-07-10 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile

Families Citing this family (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6746565B1 (en) 1995-08-17 2004-06-08 Semitool, Inc. Semiconductor processor with wafer face protection
US6413436B1 (en) * 1999-01-27 2002-07-02 Semitool, Inc. Selective treatment of the surface of a microelectronic workpiece
US6921468B2 (en) * 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
DE19842284A1 (de) * 1998-09-16 2000-03-30 Luk Fahrzeug Hydraulik Verfahren und Vorrichtung zur Herstellung verschleißfester Oberflächen
US6946065B1 (en) * 1998-10-26 2005-09-20 Novellus Systems, Inc. Process for electroplating metal into microscopic recessed features
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
CN1137511C (zh) * 1999-01-21 2004-02-04 阿托特德国有限公司 生产集成电路时由高纯铜电镀形成导体结构的方法
KR100665745B1 (ko) * 1999-01-26 2007-01-09 가부시키가이샤 에바라 세이사꾸쇼 구리도금방법 및 그 장치
US6585876B2 (en) * 1999-04-08 2003-07-01 Applied Materials Inc. Flow diffuser to be used in electro-chemical plating system and method
US6557237B1 (en) * 1999-04-08 2003-05-06 Applied Materials, Inc. Removable modular cell for electro-chemical plating and method
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
EP1069212A1 (en) * 1999-07-12 2001-01-17 Applied Materials, Inc. Electrochemical deposition for high aspect ratio structures using electrical pulse modulation
JP2001073182A (ja) * 1999-07-15 2001-03-21 Boc Group Inc:The 改良された酸性銅電気メッキ用溶液
US6217727B1 (en) * 1999-08-30 2001-04-17 Micron Technology, Inc. Electroplating apparatus and method
US6299741B1 (en) 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6379223B1 (en) 1999-11-29 2002-04-30 Applied Materials, Inc. Method and apparatus for electrochemical-mechanical planarization
US6361675B1 (en) * 1999-12-01 2002-03-26 Motorola, Inc. Method of manufacturing a semiconductor component and plating tool therefor
US6632335B2 (en) * 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
JP3907151B2 (ja) * 2000-01-25 2007-04-18 株式会社東芝 半導体装置の製造方法
US20060131177A1 (en) * 2000-02-23 2006-06-22 Jeffrey Bogart Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
US7141146B2 (en) * 2000-02-23 2006-11-28 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
US7211175B1 (en) * 2000-02-29 2007-05-01 Novellus Systems, Inc. Method and apparatus for potential controlled electroplating of fine patterns on semiconductor wafers
EP1132500A3 (en) * 2000-03-08 2002-01-23 Applied Materials, Inc. Method for electrochemical deposition of metal using modulated waveforms
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US20060118425A1 (en) * 2000-04-19 2006-06-08 Basol Bulent M Process to minimize and/or eliminate conductive material coating over the top surface of a patterned substrate
US6491806B1 (en) * 2000-04-27 2002-12-10 Intel Corporation Electroplating bath composition
WO2001084621A1 (en) * 2000-04-27 2001-11-08 Ebara Corporation Rotation holding device and semiconductor substrate processing device
US6913680B1 (en) * 2000-05-02 2005-07-05 Applied Materials, Inc. Method of application of electrical biasing to enhance metal deposition
US7195696B2 (en) * 2000-05-11 2007-03-27 Novellus Systems, Inc. Electrode assembly for electrochemical processing of workpiece
US6695962B2 (en) * 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
EP1337693A2 (en) 2000-05-23 2003-08-27 Applied Materials, Inc. Method and apparatus to overcome anomalies in copper seed layers and to tune for feature size and aspect ratio
JP2002121699A (ja) * 2000-05-25 2002-04-26 Nippon Techno Kk めっき浴の振動流動とパルス状めっき電流との組み合わせを用いた電気めっき方法
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US20050109627A1 (en) * 2003-10-10 2005-05-26 Applied Materials, Inc. Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US6454927B1 (en) 2000-06-26 2002-09-24 Applied Materials, Inc. Apparatus and method for electro chemical deposition
US6576110B2 (en) 2000-07-07 2003-06-10 Applied Materials, Inc. Coated anode apparatus and associated method
US20020112964A1 (en) * 2000-07-12 2002-08-22 Applied Materials, Inc. Process window for gap-fill on very high aspect ratio structures using additives in low acid copper baths
US6766813B1 (en) * 2000-08-01 2004-07-27 Board Of Regents, The University Of Texas System Apparatus and method for cleaning a wafer
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
EP1470268A2 (en) * 2000-10-03 2004-10-27 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US20040178077A1 (en) * 2000-10-10 2004-09-16 International Business Machines Corporation Electroplated copper interconnection structure, process for making and electroplating bath
US6649038B2 (en) * 2000-10-13 2003-11-18 Shipley Company, L.L.C. Electroplating method
US6824665B2 (en) 2000-10-25 2004-11-30 Shipley Company, L.L.C. Seed layer deposition
JP3967879B2 (ja) * 2000-11-16 2007-08-29 株式会社ルネサステクノロジ 銅めっき液及びそれを用いた半導体集積回路装置の製造方法
US6440291B1 (en) * 2000-11-30 2002-08-27 Novellus Systems, Inc. Controlled induction by use of power supply trigger in electrochemical processing
US6610189B2 (en) * 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
US6402592B1 (en) * 2001-01-17 2002-06-11 Steag Cutek Systems, Inc. Electrochemical methods for polishing copper films on semiconductor substrates
US20020092673A1 (en) * 2001-01-17 2002-07-18 International Business Machines Corporation Tungsten encapsulated copper interconnections using electroplating
US20040020780A1 (en) * 2001-01-18 2004-02-05 Hey H. Peter W. Immersion bias for use in electro-chemical plating system
US6613200B2 (en) * 2001-01-26 2003-09-02 Applied Materials, Inc. Electro-chemical plating with reduced thickness and integration with chemical mechanical polisher into a single platform
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
JP2003003290A (ja) * 2001-04-12 2003-01-08 Chang Chun Petrochemical Co Ltd 集積回路の配線形成用の銅電気めっき液組成物
US6551487B1 (en) 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US20060011487A1 (en) * 2001-05-31 2006-01-19 Surfect Technologies, Inc. Submicron and nano size particle encapsulation by electrochemical process and apparatus
JP2003105584A (ja) * 2001-07-26 2003-04-09 Electroplating Eng Of Japan Co 微細配線埋め込み用銅メッキ液及びそれを用いた銅メッキ方法
US6881318B2 (en) * 2001-07-26 2005-04-19 Applied Materials, Inc. Dynamic pulse plating for high aspect ratio features
US6723219B2 (en) * 2001-08-27 2004-04-20 Micron Technology, Inc. Method of direct electroplating on a low conductivity material, and electroplated metal deposited therewith
JP3681670B2 (ja) * 2001-09-25 2005-08-10 シャープ株式会社 半導体集積回路の製造装置および製造方法
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
CN1283848C (zh) * 2001-10-16 2006-11-08 新光电气工业株式会社 小直径孔镀铜的方法
US6811670B2 (en) * 2001-11-21 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming cathode contact areas for an electroplating process
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
US7033465B1 (en) 2001-11-30 2006-04-25 Novellus Systems, Inc. Clamshell apparatus with crystal shielding and in-situ rinse-dry
US6824612B2 (en) 2001-12-26 2004-11-30 Applied Materials, Inc. Electroless plating system
US6919011B2 (en) * 2001-12-27 2005-07-19 The Hong Kong Polytechnic University Complex waveform electroplating
US6830673B2 (en) * 2002-01-04 2004-12-14 Applied Materials, Inc. Anode assembly and method of reducing sludge formation during electroplating
JP3725083B2 (ja) * 2002-02-21 2005-12-07 アトーテヒ ドイッチュラント ゲゼルシャフト ミット ベシュレンクテル ハフツング メッキ設備における金属イオン供給源の有効保存を可能とする方法
US20030168344A1 (en) * 2002-03-08 2003-09-11 Applied Materials, Inc. Selective metal deposition for electrochemical plating
US7854828B2 (en) * 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US20030201170A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Apparatus and method for electropolishing a substrate in an electroplating cell
US6911136B2 (en) * 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US7189313B2 (en) * 2002-05-09 2007-03-13 Applied Materials, Inc. Substrate support with fluid retention band
US6855235B2 (en) * 2002-05-28 2005-02-15 Applied Materials, Inc. Anode impedance control through electrolyte flow control
US6843897B2 (en) * 2002-05-28 2005-01-18 Applied Materials, Inc. Anode slime reduction method while maintaining low current
US7247223B2 (en) 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
DE10223957B4 (de) * 2002-05-31 2006-12-21 Advanced Micro Devices, Inc., Sunnyvale Ein verbessertes Verfahren zum Elektroplattieren von Kupfer auf einer strukturierten dielektrischen Schicht
US20040000488A1 (en) * 2002-06-28 2004-01-01 Applied Materials, Inc. CU ECP planarization by insertion of polymer treatment step between gap fill and bulk fill steps
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US20040072445A1 (en) * 2002-07-11 2004-04-15 Applied Materials, Inc. Effective method to improve surface finish in electrochemically assisted CMP
DE10232612B4 (de) * 2002-07-12 2006-05-18 Atotech Deutschland Gmbh Vorrichtung und Verfahren zur Überwachung eines elektrolytischen Prozesses
US7128823B2 (en) * 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US20040118694A1 (en) * 2002-12-19 2004-06-24 Applied Materials, Inc. Multi-chemistry electrochemical processing system
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US20040026255A1 (en) * 2002-08-06 2004-02-12 Applied Materials, Inc Insoluble anode loop in copper electrodeposition cell for interconnect formation
US20040140222A1 (en) * 2002-09-12 2004-07-22 Smedley Stuart I. Method for operating a metal particle electrolyzer
US7025862B2 (en) * 2002-10-22 2006-04-11 Applied Materials Plating uniformity control by contact ring shaping
US7232715B2 (en) * 2002-11-15 2007-06-19 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating semiconductor film and semiconductor device and laser processing apparatus
WO2004052547A2 (en) * 2002-12-05 2004-06-24 Surfect Technologies, Inc. Coated and magnetic particles and applications thereof
US6875322B1 (en) 2003-01-15 2005-04-05 Lam Research Corporation Electrochemical assisted CMP
JP4303484B2 (ja) * 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US7704367B2 (en) * 2004-06-28 2010-04-27 Lam Research Corporation Method and apparatus for plating semiconductor wafers
US7842169B2 (en) * 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
US20070131563A1 (en) * 2003-04-14 2007-06-14 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
JP2007525591A (ja) * 2003-04-18 2007-09-06 アプライド マテリアルズ インコーポレイテッド 複数の化学物質メッキシステム
US20040206628A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Electrical bias during wafer exit from electrolyte bath
KR20040094560A (ko) * 2003-05-03 2004-11-10 삼성전자주식회사 반도체 소자 금속배선층의 전해 연마 방법 및 장치
US6884335B2 (en) * 2003-05-20 2005-04-26 Novellus Systems, Inc. Electroplating using DC current interruption and variable rotation rate
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7335288B2 (en) * 2003-09-18 2008-02-26 Novellus Systems, Inc. Methods for depositing copper on a noble metal layer of a work piece
US20050067274A1 (en) * 2003-09-30 2005-03-31 Shao-Yu Ting [electroplating apparatus]
US20050077182A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Volume measurement apparatus and method
US20050092601A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a diffusion member
US20050092602A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a membrane stack
JP4540981B2 (ja) * 2003-12-25 2010-09-08 株式会社荏原製作所 めっき方法
US20050274604A1 (en) * 2004-02-06 2005-12-15 Koji Saito Plating apparatus
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7285195B2 (en) * 2004-06-24 2007-10-23 Applied Materials, Inc. Electric field reducing thrust plate
US7214297B2 (en) * 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
US7232513B1 (en) * 2004-06-29 2007-06-19 Novellus Systems, Inc. Electroplating bath containing wetting agent for defect reduction
US20060071338A1 (en) * 2004-09-30 2006-04-06 International Business Machines Corporation Homogeneous Copper Interconnects for BEOL
US7531105B2 (en) * 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US20060102467A1 (en) * 2004-11-15 2006-05-18 Harald Herchen Current collimation for thin seed and direct plating
US20060175201A1 (en) * 2005-02-07 2006-08-10 Hooman Hafezi Immersion process for electroplating applications
US7155319B2 (en) 2005-02-23 2006-12-26 Applied Materials, Inc. Closed loop control on liquid delivery system ECP slim cell
TW200641189A (en) * 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7837851B2 (en) * 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
KR100651919B1 (ko) * 2005-09-29 2006-12-01 엘지전자 주식회사 녹화 속도 조절 기능을 갖는 이동통신단말기 및 이를이용한 방법
US20070181441A1 (en) * 2005-10-14 2007-08-09 Applied Materials, Inc. Method and apparatus for electropolishing
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
JP4764718B2 (ja) * 2005-12-28 2011-09-07 新光電気工業株式会社 スルーホールの充填方法
JP4746443B2 (ja) * 2006-02-27 2011-08-10 株式会社東芝 電子部品の製造方法
US7655126B2 (en) * 2006-03-27 2010-02-02 Federal Mogul World Wide, Inc. Fabrication of topical stopper on MLS gasket by active matrix electrochemical deposition
US7981259B2 (en) * 2006-06-14 2011-07-19 Applied Materials, Inc. Electrolytic capacitor for electric field modulation
US9822461B2 (en) * 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US20080092947A1 (en) * 2006-10-24 2008-04-24 Applied Materials, Inc. Pulse plating of a low stress film on a solar cell substrate
WO2008058200A2 (en) * 2006-11-08 2008-05-15 St. Lawrence Nanotechnology, Inc. Method and apparatus for electrochemical mechanical polishing nip substrates
EP1932951A1 (de) * 2006-11-17 2008-06-18 PRIOR Engineering Services AG Elektrodenkorb mit gepulster Stromversorgung
US20080128019A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Method of metallizing a solar cell substrate
US7704352B2 (en) * 2006-12-01 2010-04-27 Applied Materials, Inc. High-aspect ratio anode and apparatus for high-speed electroplating on a solar cell substrate
US7736928B2 (en) * 2006-12-01 2010-06-15 Applied Materials, Inc. Precision printing electroplating through plating mask on a solar cell substrate
US7799182B2 (en) 2006-12-01 2010-09-21 Applied Materials, Inc. Electroplating on roll-to-roll flexible solar cell substrates
US7842173B2 (en) * 2007-01-29 2010-11-30 Semitool, Inc. Apparatus and methods for electrochemical processing of microfeature wafers
US7837841B2 (en) * 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
TW200923134A (en) * 2007-05-07 2009-06-01 Surfect Technologies Inc Plating apparatus and method
US7718522B2 (en) * 2007-05-30 2010-05-18 Utac Thai Limited Method and apparatus for plating a semiconductor package
DE102007030821A1 (de) * 2007-07-03 2009-01-08 Maschinenbau Hofer Gmbh Walzen- oder zylinderförmige Kontaktiereinheit, Galvanisierungsvorrichtung und Galvanisiersystem
US20090095634A1 (en) * 2007-10-15 2009-04-16 Natsuki Makino Plating method
US7985325B2 (en) * 2007-10-30 2011-07-26 Novellus Systems, Inc. Closed contact electroplating cup assembly
US7935231B2 (en) * 2007-10-31 2011-05-03 Novellus Systems, Inc. Rapidly cleanable electroplating cup assembly
EP2072644A1 (en) * 2007-12-21 2009-06-24 ETH Zürich, ETH Transfer Device and method for the electrochemical deposition of chemical compounds and alloys with controlled composition and or stoichiometry
US20110073469A1 (en) * 2008-03-19 2011-03-31 Yue Ma Electrochemical deposition system
TWI417962B (zh) * 2008-03-20 2013-12-01 Acm Res Shanghai Inc 電沉積系統
ATE506468T1 (de) * 2008-04-28 2011-05-15 Atotech Deutschland Gmbh Wässriges saures bad und verfahren zum elektrolytischen abschneiden von kupfer
US8882983B2 (en) * 2008-06-10 2014-11-11 The Research Foundation For The State University Of New York Embedded thin films
ES2615337T3 (es) * 2008-07-08 2017-06-06 Enthone, Inc. Electrolito y método para depositar una capa metálica mate
US7776741B2 (en) 2008-08-18 2010-08-17 Novellus Systems, Inc. Process for through silicon via filing
US11225727B2 (en) 2008-11-07 2022-01-18 Lam Research Corporation Control of current density in an electroplating apparatus
US10011917B2 (en) 2008-11-07 2018-07-03 Lam Research Corporation Control of current density in an electroplating apparatus
US20100122912A1 (en) * 2008-11-19 2010-05-20 Chardon Laboratories, Inc. Water treatment device
US20100126849A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Apparatus and method for forming 3d nanostructure electrode for electrochemical battery and capacitor
US9512538B2 (en) 2008-12-10 2016-12-06 Novellus Systems, Inc. Plating cup with contoured cup bottom
JP5237924B2 (ja) * 2008-12-10 2013-07-17 ノベルス・システムズ・インコーポレーテッド ベースプレート、及び電気メッキ装置
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US20100163415A1 (en) * 2008-12-30 2010-07-01 Chardon Laboratories, Inc. Water treatment device
WO2010138465A2 (en) 2009-05-27 2010-12-02 Novellus Systems, Inc. Pulse sequence for plating on thin seed layers
US20100320081A1 (en) * 2009-06-17 2010-12-23 Mayer Steven T Apparatus for wetting pretreatment for enhanced damascene metal filling
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8865361B2 (en) * 2009-07-29 2014-10-21 The Invention Science Fund I, Llc Instrumented fluid-surfaced electrode
US8974939B2 (en) * 2009-07-29 2015-03-10 The Invention Science Fund I, Llc Fluid-surfaced electrode
US10074879B2 (en) * 2009-07-29 2018-09-11 Deep Science, Llc Instrumented fluid-surfaced electrode
US8460814B2 (en) * 2009-07-29 2013-06-11 The Invention Science Fund I, Llc Fluid-surfaced electrode
US8889312B2 (en) * 2009-07-29 2014-11-18 The Invention Science Fund I, Llc Instrumented fluid-surfaced electrode
US20110027638A1 (en) * 2009-07-29 2011-02-03 Searete Llc, A Limited Liability Corporation Of The State Of Delaware Fluid-surfaced electrode
US9109295B2 (en) * 2009-10-12 2015-08-18 Novellus Systems, Inc. Electrolyte concentration control system for high rate electroplating
US10472730B2 (en) 2009-10-12 2019-11-12 Novellus Systems, Inc. Electrolyte concentration control system for high rate electroplating
US9138784B1 (en) 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
US9385035B2 (en) 2010-05-24 2016-07-05 Novellus Systems, Inc. Current ramping and current pulsing entry of substrates for electroplating
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US8784618B2 (en) 2010-08-19 2014-07-22 International Business Machines Corporation Working electrode design for electrochemical processing of electronic components
US8221600B2 (en) * 2010-09-23 2012-07-17 Sunpower Corporation Sealed substrate carrier for electroplating
KR101764275B1 (ko) * 2010-09-23 2017-08-03 선파워 코포레이션 전기도금용 불침투성 기재 캐리어
US8221601B2 (en) * 2010-09-23 2012-07-17 Sunpower Corporation Maintainable substrate carrier for electroplating
US8317987B2 (en) 2010-09-23 2012-11-27 Sunpower Corporation Non-permeable substrate carrier for electroplating
US9005409B2 (en) 2011-04-14 2015-04-14 Tel Nexx, Inc. Electro chemical deposition and replenishment apparatus
US9028666B2 (en) 2011-05-17 2015-05-12 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
US9666426B2 (en) * 2011-06-24 2017-05-30 Acm Research (Shanghai) Inc. Methods and apparatus for uniformly metallization on substrates
US9221081B1 (en) 2011-08-01 2015-12-29 Novellus Systems, Inc. Automated cleaning of wafer plating assembly
US8911551B2 (en) * 2011-08-02 2014-12-16 Win Semiconductor Corp. Electroless plating apparatus and method
US10066311B2 (en) 2011-08-15 2018-09-04 Lam Research Corporation Multi-contact lipseals and associated electroplating methods
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
US9988734B2 (en) 2011-08-15 2018-06-05 Lam Research Corporation Lipseals and contact elements for semiconductor electroplating apparatuses
US9249521B2 (en) 2011-11-04 2016-02-02 Integran Technologies Inc. Flow-through consumable anodes
ES2637799T3 (es) 2011-11-15 2017-10-17 Ashwin-Ushas Corporation, Inc. Dispositivo electrocrómico con polímeros complementarios
WO2013148890A1 (en) 2012-03-28 2013-10-03 Novellus Systems, Inc. Methods and apparatuses for cleaning electroplating substrate holders
TWI609100B (zh) 2012-03-30 2017-12-21 諾發系統有限公司 使用反向電流除鍍以清洗電鍍基板夾持具
US20140366805A1 (en) * 2012-11-14 2014-12-18 Israel Schuster System for forming a conductive pattern
KR102148535B1 (ko) * 2013-01-07 2020-08-27 노벨러스 시스템즈, 인코포레이티드 전기도금을 위한 기판들의 전류 램핑 및 전류 펄싱 진입
US10214826B2 (en) * 2013-01-29 2019-02-26 Novellus Systems, Inc. Low copper electroplating solutions for fill and defect control
US9746427B2 (en) 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
US10416092B2 (en) 2013-02-15 2019-09-17 Lam Research Corporation Remote detection of plating on wafer holding apparatus
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9207515B2 (en) 2013-03-15 2015-12-08 Ashwin-Ushas Corporation, Inc. Variable-emittance electrochromic devices and methods of preparing the same
CN105190859B (zh) * 2013-04-22 2018-03-02 盛美半导体设备(上海)有限公司 在基板上均匀金属化的方法和装置
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9303329B2 (en) 2013-11-11 2016-04-05 Tel Nexx, Inc. Electrochemical deposition apparatus with remote catholyte fluid management
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9481942B2 (en) * 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
GB2535805A (en) 2015-02-27 2016-08-31 Biomet Uk Healthcare Ltd Apparatus and method for selectively treating a surface of a component
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
US10053793B2 (en) 2015-07-09 2018-08-21 Lam Research Corporation Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
US9632059B2 (en) 2015-09-03 2017-04-25 Ashwin-Ushas Corporation, Inc. Potentiostat/galvanostat with digital interface
US9482880B1 (en) 2015-09-15 2016-11-01 Ashwin-Ushas Corporation, Inc. Electrochromic eyewear
US10512174B2 (en) * 2016-02-15 2019-12-17 Rohm And Haas Electronic Materials Llc Method of filling through-holes to reduce voids and other defects
US10508357B2 (en) * 2016-02-15 2019-12-17 Rohm And Haas Electronic Materials Llc Method of filling through-holes to reduce voids and other defects
CN108701647A (zh) 2016-02-26 2018-10-23 应用材料公司 用于钴镀覆的增强镀覆浴及添加剂化学品
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
EP3485068A4 (en) 2016-07-13 2020-04-22 Iontra LLC ELECTROCHEMICAL PROCESSES, DEVICES AND COMPOSITIONS
JP6847691B2 (ja) * 2017-02-08 2021-03-24 株式会社荏原製作所 めっき装置およびめっき装置とともに使用される基板ホルダ
GB201711472D0 (en) 2017-07-17 2017-08-30 Univ London Queen Mary Electrodeposition from multiple electrolytes
US10692735B2 (en) 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
CN112135930A (zh) 2018-04-09 2020-12-25 朗姆研究公司 在非铜衬垫层上的铜电填充
CN108754590A (zh) * 2018-08-22 2018-11-06 深圳市创智成功科技有限公司 导电环、基于其的供电装置及基于供电装置的电镀治具
KR20230041647A (ko) * 2020-03-23 2023-03-24 에이씨엠 리서치 (상하이), 인코포레이티드 도금 장치 및 도금 방법
US11268208B2 (en) * 2020-05-08 2022-03-08 Applied Materials, Inc. Electroplating system
CN115537902B (zh) * 2022-10-19 2023-12-22 厦门海辰新材料科技有限公司 钛网组件以及电镀设备

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2882209A (en) 1957-05-20 1959-04-14 Udylite Res Corp Electrodeposition of copper from an acid bath
US3649509A (en) 1969-07-08 1972-03-14 Buckbee Mears Co Electrodeposition systems
US3727620A (en) 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3770598A (en) 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
NL7510771A (nl) 1975-03-11 1976-09-14 Oxy Metal Industries Corp Werkwijze voor het elektrolytisch neerslaan van koper uit waterige zure galvaniseerbaden.
JPS5271871A (en) 1975-12-11 1977-06-15 Nec Corp Washing apparatus
JPS5819350B2 (ja) 1976-04-08 1983-04-18 富士写真フイルム株式会社 スピンコ−テイング方法
US4120771A (en) 1976-09-10 1978-10-17 Fabrication Belge de Disques "Fabeldis" Device for manufacturing substantially flat dies
US4120711A (en) 1977-09-30 1978-10-17 Universal Water Systems, Inc. Process for sealing end caps to filter cartridges
US4326940A (en) 1979-05-21 1982-04-27 Rohco Incorporated Automatic analyzer and control system for electroplating baths
US4405416A (en) 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4315059A (en) 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4336114A (en) 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
EP0076569B1 (en) 1981-10-01 1986-08-27 EMI Limited Electroplating arrangements
JPS58182823A (ja) 1982-04-21 1983-10-25 Nec Corp 半導体ウエハ−のメツキ装置
US4489740A (en) 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4428815A (en) * 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
US4789445A (en) 1983-05-16 1988-12-06 Asarco Incorporated Method for the electrodeposition of metals
US4510176A (en) 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
US4519846A (en) 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4732785A (en) 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
JPS63118093A (ja) 1986-11-05 1988-05-23 Tanaka Electron Ind Co Ltd 電子部品の錫めつき方法
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5230743A (en) 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5235995A (en) 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US5168887A (en) 1990-05-18 1992-12-08 Semitool, Inc. Single wafer processor apparatus
US5092975A (en) 1988-06-14 1992-03-03 Yamaha Corporation Metal plating apparatus
US5316974A (en) 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5162260A (en) 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5055425A (en) 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5222310A (en) 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5259407A (en) 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5256274A (en) 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
JPH04131395A (ja) * 1990-09-21 1992-05-06 Toshiba Corp 半導体ウエハのメッキ方法及び装置
EP0496605B1 (en) 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Surface treating solutions for semiconductors
JP2697773B2 (ja) * 1991-03-11 1998-01-14 日本エレクトロプレイテイング・エンジニヤース 株式会社 メッキ方法
JP2525521B2 (ja) 1991-06-25 1996-08-21 日本リーロナール株式会社 無電解スズ―鉛合金めっき浴
JP3200468B2 (ja) * 1992-05-21 2001-08-20 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用めっき装置
JP2654314B2 (ja) 1992-06-04 1997-09-17 東京応化工業株式会社 裏面洗浄装置
US5512163A (en) 1992-06-08 1996-04-30 Motorola, Inc. Method for forming a planarization etch stop
JPH0617291A (ja) * 1992-07-03 1994-01-25 Nec Corp 金属めっき装置
US5328589A (en) 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
JPH0714811A (ja) 1993-06-24 1995-01-17 Hitachi Ltd 洗浄乾燥方法及び洗浄乾燥装置
US5608943A (en) 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5513594A (en) 1993-10-20 1996-05-07 Mcclanahan; Adolphus E. Clamp with wafer release for semiconductor wafer processing equipment
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
JP3377849B2 (ja) * 1994-02-02 2003-02-17 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用メッキ装置
US5664337A (en) 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
US5544421A (en) 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
EP0757844A1 (en) 1994-04-28 1997-02-12 Semitool, Inc. Semiconductor processing systems
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5705223A (en) 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5605615A (en) 1994-12-05 1997-02-25 Motorola, Inc. Method and apparatus for plating metals
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US5762751A (en) 1995-08-17 1998-06-09 Semitool, Inc. Semiconductor processor with wafer face protection
US5807469A (en) * 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
FR2745589B1 (fr) 1996-02-29 1998-04-30 Snecma Piece hybride a haut rapport resistance-masse et procede de realisation
US6099712A (en) 1997-09-30 2000-08-08 Semitool, Inc. Semiconductor plating bowl and method using anode shield
US6091498A (en) 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US5980706A (en) 1996-07-15 1999-11-09 Semitool, Inc. Electrode semiconductor workpiece holder
US6203582B1 (en) 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US6004828A (en) 1997-09-30 1999-12-21 Semitool, Inc, Semiconductor processing workpiece support with sensory subsystem for detection of wafers or other semiconductor workpieces
US6001234A (en) 1997-09-30 1999-12-14 Semitool, Inc. Methods for plating semiconductor workpieces using a workpiece-engaging electrode assembly with sealing boot
US6174425B1 (en) 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
US6228231B1 (en) 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US5972192A (en) 1997-07-23 1999-10-26 Advanced Micro Devices, Inc. Pulse electroplating copper or copper alloys
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US6454926B1 (en) 1997-09-30 2002-09-24 Semitool Inc. Semiconductor plating system workpiece support having workpiece-engaging electrode with submerged conductive current transfer areas
US6090711A (en) 1997-09-30 2000-07-18 Semitool, Inc. Methods for controlling semiconductor workpiece surface exposure to processing liquids
US6921468B2 (en) 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
JP2003526004A (ja) 1997-09-30 2003-09-02 セミトウール・インコーポレーテツド 接点洗浄作業用に主反応チェンバーに外付けした補助電極を持つ電気メッキシステム
US6024857A (en) 1997-10-08 2000-02-15 Novellus Systems, Inc. Electroplating additive for filling sub-micron features
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6159354A (en) 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
JP4067275B2 (ja) 1997-12-16 2008-03-26 株式会社荏原製作所 メッキ装置及びその通電確認方法
US6843894B2 (en) 1997-12-18 2005-01-18 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US6168693B1 (en) 1998-01-22 2001-01-02 International Business Machines Corporation Apparatus for controlling the uniformity of an electroplated workpiece
US7244677B2 (en) 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
KR100474746B1 (ko) 1998-02-12 2005-03-08 에이씨엠 리서치, 인코포레이티드 도금 장치 및 방법
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6517689B1 (en) 1998-07-10 2003-02-11 Ebara Corporation Plating device
US6322678B1 (en) 1998-07-11 2001-11-27 Semitool, Inc. Electroplating reactor including back-side electrical contact apparatus
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
JP4766579B2 (ja) 1998-11-30 2011-09-07 アプライド マテリアルズ インコーポレイテッド 電気化学堆積装置
US6280581B1 (en) 1998-12-29 2001-08-28 David Cheng Method and apparatus for electroplating films on semiconductor wafers
EP1151286B1 (en) 1999-02-08 2004-12-22 Defence Science and Technology Organisation of the Department of Defence A micro-electronic bond degradation sensor and method of manufacture
US6837978B1 (en) 1999-04-08 2005-01-04 Applied Materials, Inc. Deposition uniformity control for electroplating apparatus, and associated method
US6278210B1 (en) 1999-08-30 2001-08-21 International Business Machines Corporation Rotary element apparatus with wireless power transfer
US6444101B1 (en) 1999-11-12 2002-09-03 Applied Materials, Inc. Conductive biasing member for metal layering
US6547937B1 (en) 2000-01-03 2003-04-15 Semitool, Inc. Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece
US6551483B1 (en) 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US6344125B1 (en) 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US6627052B2 (en) 2000-12-12 2003-09-30 International Business Machines Corporation Electroplating apparatus with vertical electrical contact
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US6908540B2 (en) 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
DE10229005B4 (de) 2002-06-28 2007-03-01 Advanced Micro Devices, Inc., Sunnyvale Vorrichtung und Verfahren zur elektrochemischen Metallabscheidung
US6783657B2 (en) 2002-08-29 2004-08-31 Micron Technology, Inc. Systems and methods for the electrolytic removal of metals from substrates
US7025862B2 (en) 2002-10-22 2006-04-11 Applied Materials Plating uniformity control by contact ring shaping
US7087144B2 (en) 2003-01-31 2006-08-08 Applied Materials, Inc. Contact ring with embedded flexible contacts
WO2013175569A1 (ja) * 2012-05-22 2013-11-28 株式会社安川電機 電力変換装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10017869B2 (en) 2008-11-07 2018-07-10 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US10920335B2 (en) 2008-11-07 2021-02-16 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US11549192B2 (en) 2008-11-07 2023-01-10 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
JP2012007201A (ja) * 2010-06-23 2012-01-12 Lapis Semiconductor Co Ltd めっき装置
TWI622667B (zh) * 2011-04-14 2018-05-01 Tel Nexx公司 電化學沉積及補充設備
JP2014111831A (ja) * 2012-11-27 2014-06-19 Lam Research Corporation 電気めっき中の動的な電流分布制御のための方法および装置
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating

Also Published As

Publication number Publication date
DE69929967D1 (de) 2006-04-27
KR100616198B1 (ko) 2006-08-25
EP0991795A1 (en) 2000-04-12
DE69929967T2 (de) 2007-05-24
WO1999054527A2 (en) 1999-10-28
EP0991795B1 (en) 2006-02-22
US6261433B1 (en) 2001-07-17
WO1999054527A3 (en) 2000-03-23
USRE40218E1 (en) 2008-04-08
KR20010014062A (ko) 2001-02-26

Similar Documents

Publication Publication Date Title
JP2002506488A (ja) 電気化学堆積システム及び基体の電気めっき方法
US6610190B2 (en) Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
KR101765346B1 (ko) 전기도금을 위한 방법 및 장치
TW591122B (en) Plating apparatus and method
CN102534714B (zh) 电镀方法
US20080296165A1 (en) Plating apparatus
JP2008510889A (ja) 動的形状アノード
US20050145489A1 (en) Electroetching process and system
JP2004524436A (ja) 電気化学的メッキシステムにおいて使用されるフローディフューザ
US20050247567A1 (en) Method of plating
JP2009293134A (ja) 電気化学堆積装置
US8926820B2 (en) Working electrode design for electrochemical processing of electronic components
JP2006511717A (ja) 多化学物質の電気化学的処理システム
US20040256222A1 (en) Apparatus and method for highly controlled electrodeposition
JPH11279797A (ja) 基板メッキ装置
US20070181441A1 (en) Method and apparatus for electropolishing
US6544391B1 (en) Reactor for electrochemically processing a microelectronic workpiece including improved electrode assembly
US6878245B2 (en) Method and apparatus for reducing organic depletion during non-processing time periods
US20040020780A1 (en) Immersion bias for use in electro-chemical plating system
US20030201170A1 (en) Apparatus and method for electropolishing a substrate in an electroplating cell
KR20170059104A (ko) 기판상에 전기도금하는 전기화학적 증착 시스템 및 방법
JPH02225689A (ja) めっき方法及びめっき装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080916

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081216

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090202

A313 Final decision of rejection without a dissenting response from the applicant

Free format text: JAPANESE INTERMEDIATE CODE: A313

Effective date: 20090420

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090602