JP2002503031A - Plasma-assisted processing chamber for individually controlling seed density - Google Patents

Plasma-assisted processing chamber for individually controlling seed density

Info

Publication number
JP2002503031A
JP2002503031A JP2000530929A JP2000530929A JP2002503031A JP 2002503031 A JP2002503031 A JP 2002503031A JP 2000530929 A JP2000530929 A JP 2000530929A JP 2000530929 A JP2000530929 A JP 2000530929A JP 2002503031 A JP2002503031 A JP 2002503031A
Authority
JP
Japan
Prior art keywords
plasma
chamber
auxiliary
processing chamber
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000530929A
Other languages
Japanese (ja)
Inventor
ジェラルド イン,
アーノルド コランデンコ,
ホン, チン シャン,
ピーター ローウェンハード,
チー リー,
ヤン イー,
キューイェン チャン,
ソンリン キュー,
アーサー チェン,
アーサー サトー,
マイケル グリムバーゲン,
ダイアナ マー,
ジョン ヤマルティーノ,
チュン ヤン,
ウェイド ツワルスキー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/119,417 external-priority patent/US6352049B1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002503031A publication Critical patent/JP2002503031A/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles

Abstract

(57)【要約】 本発明は、処理プラズマ内の種密度を別々に制御するワークピースのプラズマアシスト処理装置および方法を提供する。本発明は、処理チャンバ(102)と、少なくとも1つの補助チャンバ(104)を有する。補助チャンバは、補助プラズマを発生し、これを処理チャンバに搬送することが可能なものである。処理チャンバ内での粒子種の密度を制御するために、本発明は、補助チャンバと処理チャンバに挿間されたフィルタ(108)、主要チャンバの電源電力、処理チャンバへ別々の入力を供給するいくつかの補助チャンバ、もしくはそれらの組み合わせを有する。補助プラズマは、フィルタリングされたものか、主要チャンバで発生したプラズマと結合されるか、別の補助プラズマと結合されるか、またはそれらを組み合わせて処理して、処理プラズマからなる種の密度を別々に制御してもよい。 (57) SUMMARY The present invention provides a plasma assisted processing apparatus and method for a workpiece that separately controls a seed density in a processing plasma. The present invention has a processing chamber (102) and at least one auxiliary chamber (104). The auxiliary chamber is capable of generating an auxiliary plasma and transferring it to the processing chamber. To control the density of the particle species in the processing chamber, the present invention provides a filter (108) interposed between the auxiliary chamber and the processing chamber, a power supply for the main chamber, and a number of separate inputs to the processing chamber. Such auxiliary chambers, or a combination thereof. The auxiliary plasma may be filtered, combined with the plasma generated in the main chamber, combined with another auxiliary plasma, or a combination thereof to separate the density of the species of the processing plasma. May be controlled.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】TECHNICAL FIELD OF THE INVENTION

(クロスリファレンス) 本発明は、1998年2月9日に出願され、「荷電粒子密度および中性密度を
個別制御するプラズマアシスト処理チャンバ(Plasma Assisted
Processing Chamber with Separate Co
ntrol of Charged Particle Density an
d Neutral Density」と題した に米国特許第 号として
登録された米国特許出願第09/020,960号の一部継続出願である。
(Cross Reference) The present invention was filed on February 9, 1998, and described in "Plasma Assisted Processing Chamber (Plasma Assisted) for Individually Controlling Charged Particle Density and Neutral Density.
Processing Chamber with Separate Co
control of Charged Particle Density an
d Neutral Density " United States Patent No. No. 09 / 020,960, which is a continuation-in-part application of US patent application Ser.

【0002】 本発明は、ワークピースに膜をエッチングおよび/または堆積するために使用
するプラズマリアクタに関し、より詳細には、リアクタの主要な処理チャンバ内
にある種の密度を独立して制御することが可能なリアクタに関する。
[0002] The present invention relates to a plasma reactor used to etch and / or deposit a film on a workpiece, and more particularly to independently controlling certain densities within a main processing chamber of the reactor. For a possible reactor.

【0003】[0003]

【従来の技術】[Prior art]

ワークピース上にマイクロエレクトロニクスデバイスを製造する間、半導体ウ
ェハなどのワークピース上にさまざまな処理を施すために、プラズマリアクタが
用いられてもよい。ウェハはリアクタの真空室内に配置され、処理ガスが導入さ
れる。処理ガスは電磁エネルギーで照射され、プラズマを点火、維持する。プラ
ズマが形成されるガスの組成によるが、ワークピースからある特定の材料をエッ
チングするためにプラズマが用いられたり、またはワークピース上にある薄膜の
材料層を堆積するためにプラズマが用いられてもよい。
While manufacturing microelectronic devices on a workpiece, a plasma reactor may be used to perform various processes on the workpiece, such as a semiconductor wafer. The wafer is placed in a vacuum chamber of the reactor and a processing gas is introduced. The process gas is irradiated with electromagnetic energy to ignite and maintain the plasma. Depending on the composition of the gas from which the plasma is formed, the plasma may be used to etch certain materials from the workpiece, or may be used to deposit a thin layer of material on the workpiece. Good.

【0004】 プラズマリアクタがエッチング用に使用される場合にエッチャントとして用い
られる典型的な処理ガスの例は、特に、Cl2、BCl3、CF4、SF6、NF3 、HBrおよびさまざまなCxyzガスを含む。しかしながら、これらのガス は、リアクタで処理されているワークピースから除去したい材料を十分にエッチ
ングできるほどこれらの状態では化学的な反応を起こさない。ここでプラズマが
活躍する。
[0004] Examples of typical process gases used as etchants when plasma reactors are used for etching include, among others, Cl 2 , BCl 3 , CF 4 , SF 6 , NF 3 , HBr and various C x. including H y F z gas. However, these gases do not undergo a chemical reaction under these conditions to sufficiently etch the material to be removed from the workpiece being processed in the reactor. Here the plasma is active.

【0005】 処理ガスは、電磁エネルギーで照射され、プラズマを点火、維持する。中性粒
子および荷電粒子、さらには他の粒子や物質の種が処理ガスからプラズマに発生
する。例えば、Cl2が処理ガスとして使用されると、中性粒子および荷電粒子 の以下の種がチャンバ内に存在する可能性がある。
[0005] A process gas is irradiated with electromagnetic energy to ignite and maintain the plasma. Neutral and charged particles, as well as other particles and material species, are generated from the process gas into the plasma. For example, if Cl 2 is used as the process gas, the following species of neutral and charged particles may be present in the chamber.

【0006】[0006]

【式1】 (Equation 1)

【0007】 エッチャントガス、例えば、Cl、FおよびBrなどから形成される中性粒子
は、極めて不安定で反応しやすく、ワークピース上の材料と化学的に反応してガ
ス物質を発生することにより、効果的にワークピースから材料を除去またはエッ
チングするために効果的に使用可能なものである。例えば、半導体ウェハの表面
からシリコンをエッチングすることが望ましい場合、Cl2は対称的に解離され て、プラズマ内にCl中性粒子を形成する。これらのCl中性粒子が以下の式に
よりウェハのシリコンと反応する。
[0007] Neutral particles formed from etchant gases, such as Cl, F and Br, are extremely unstable and susceptible to reacting and chemically reacting with the material on the workpiece to generate gaseous substances. , Which can be effectively used to effectively remove or etch material from a workpiece. For example, if it is desired to etch silicon from the surface of a semiconductor wafer, Cl 2 is symmetrically dissociated to form Cl neutrals in the plasma. These Cl neutral particles react with the silicon of the wafer according to the following equation.

【0008】[0008]

【式2】 (Equation 2)

【0009】 生成物SiClXは、最終的に処理チャンバから排気されるガスである。[0009] The product SiCl x is the gas that is ultimately exhausted from the processing chamber.

【0010】 しかしながら、上述した反応は十分なエネルギーをかけなければ起こらないこ
とがある。このエネルギーは、熱の形をとることがあるが、プラズマアシストの
エッチングプロセスでは、通常、このエネルギーの大半はウェハ表面の物理的衝
撃からのものである。この物理的衝撃は、処理ガスからプラズマで形成される荷
電粒子によって行われる仕事である。通常、荷電粒子はウェハ支持体にかけたバ
イアス電力によりウェハの方向に引き付けられて、衝撃を高めウェハに対して所
望の指向性、通常、ウェハの上面に対して垂直な指向性をもつようになる。これ
らの荷電粒子は、エッチャントガスの中性粒子と関連する化学エッチングプロセ
スに与えるエネルギーを供給するだけでなく、ウェハに粒子が衝突することによ
ってウェハ表面から材料を物理的に除去する。
[0010] However, the above-described reaction may not occur unless sufficient energy is applied. This energy can be in the form of heat, but in plasma-assisted etching processes, much of this energy is typically from physical impact on the wafer surface. This physical impact is the work performed by charged particles formed in the plasma from the process gas. Typically, the charged particles are attracted in the direction of the wafer by the bias power applied to the wafer support, increasing the impact and having the desired directivity to the wafer, typically perpendicular to the top surface of the wafer. . These charged particles not only supply energy to the chemical etching process associated with the neutral particles of the etchant gas, but also physically remove material from the wafer surface by impacting the particles on the wafer.

【0011】 荷電粒子は、エッチャントガスからのみ形成するものである必要はない。エッ
チングされる材料と化学的に反応するか否かにかかわらず、ウェハに衝撃を与え
、所望の効果を得ることができるものであれば、どのような荷電粒子でもよい。
例えば、ある特定のエッチングプロセスで、エッチャントガスのみから得られる
ものよりも多い荷電粒子が必要な場合、アルゴンなどの非反応性ガスが導入され
てもよい。アルゴンはプラズマで荷電粒子を形成する。導入されたアルゴンは、
ウェハ材料とは化学的に反応しないが、ウェハに衝撃を与えるために使用される
荷電粒子の全体的な利用可能性を高めて望ましい状態にする。
The charged particles need not be formed only from the etchant gas. Regardless of whether or not it chemically reacts with the material to be etched, any charged particle can be used as long as it can impact the wafer and achieve the desired effect.
For example, if a particular etching process requires more charged particles than can be obtained from the etchant gas alone, a non-reactive gas such as argon may be introduced. Argon forms charged particles in the plasma. The introduced argon is
It does not chemically react with the wafer material, but increases the overall availability of charged particles used to bombard the wafer to a desirable state.

【0012】 エッチャントガスから形成された中性粒子と処理ガスのすべてのガスから形成
された荷電粒子の両方のプラズマにおける濃度または密度は、エッチングプロセ
ス中、さらにはエッチングされたワークピースが示す特性を決定するさいに非常
に重要な役割を担う。例えば、両方ともワークピースから材料をエッチングする
ように作用する。したがって、すべての密度が高くなれば、全体的なエッチング
速度も上がる効果があり、これはほとんどの場合望ましい効果である。
[0012] The concentration or density in the plasma of both neutral particles formed from the etchant gas and charged particles formed from all of the process gases may be characteristic during the etching process, and even the properties exhibited by the etched workpiece. Plays a very important role in making decisions. For example, both act to etch material from a workpiece. Thus, increasing all densities has the effect of increasing the overall etch rate, which is a desirable effect in most cases.

【0013】 しかしながら、荷電粒子によってワークピースに物理的衝撃を与えると、除去
したくない材料までエッチングしてしまうことがあることについても述べておか
なければならない。したがって、荷電粒子の密度を高めることによって、エッチ
ングしたい材料のエッチング速度は上がるかもしれないが、ワークピース上で形
成されるデバイスへダメージを与えてしまうことにもなりうる。その結果、プラ
ズマでエッチャントガスの中性粒子の密度のみを増加させて全体的なエッチング
速度を上げることがより好ましい。
However, it must also be mentioned that the physical impact of the charged particles on the workpiece may result in etching of the material that one does not want to remove. Thus, increasing the density of charged particles may increase the etch rate of the material to be etched, but may also damage devices formed on the workpiece. As a result, it is more preferable to increase only the density of neutral particles of the etchant gas by the plasma to increase the overall etching rate.

【0014】 また、プラズマで形成されるエッチングガス中性粒子種と荷電粒子種の相対密
度は、例えば、エッチングの選択比、エッチングのフィーチャプロファイルおよ
びエッチング速度のマイクロローディングなどのエッチングプロセス特性にかな
りの効果をもたらす。
Also, the relative densities of neutral and charged particle species of the etching gas formed by the plasma can be significantly affected by etching process characteristics such as, for example, etch selectivity, etch feature profile, and microloading of etch rates. Bring effect.

【0015】 ここで、エッチング選択比という用語は、プラズマリアクタでエッチング中の
ワークピース上における2つの異なる材料のエッチング速度の比を指す。ワーク
ピースのさまざまな層にフィーチャおよびパターンを形成するには、エッチング
する材料とエッチングしない材料とを分けるような選択性がなければならない。
一般的な流れでは、ワークピース上のシリコン層は、ワークピースのフォトレジ
ストまたは酸素含有層よりもかなり高速にエッチングされて、シリコンにパター
ンがエッチングされる。これを、それぞれ高シリコン対フォトレジスト選択比お
よび高シリコン対酸化物選択比と呼ぶ。
Here, the term etch selectivity refers to the ratio of the etch rates of two different materials on a workpiece being etched in a plasma reactor. In order to form features and patterns in the various layers of the workpiece, there must be a selectivity that separates the material to be etched from the material to be etched.
In a typical flow, the silicon layer on the workpiece is etched much faster than the photoresist or oxygen-containing layer on the workpiece, and the pattern is etched into the silicon. These are referred to as high silicon to photoresist selectivity and high silicon to oxide selectivity, respectively.

【0016】 半導体ウェハ上のシリコン層から下地のゲート酸化物層まで穴をエッチングす
る以下の例は、高選択比の重要性を示す一例である。エッチングを行う前に、エ
ッチングを施さない領域上にあるシリコン層の表面上にフォトレジスト材料層が
形成される。したがって、穴をエッチングする領域にはフォトレジストは形成さ
れない。エッチングプロセスにおいて得られる望ましい結果とは、穴を形成しよ
うとするシリコン層を素早くエッチングするが、周辺にあるフォトレジストや下
地のゲート酸化物層を著しくエッチングしないことである。したがって、シリコ
ン対フォトレジストおよびシリコン対酸化物のエッチング選択比が高いことが望
まれる。適度なレベルの選択比が維持されなければ、いわゆる「パンチスルー」
状態が生じ、フォトレジストや酸化物層がエッチングされてワークピース上に形
成されるデバイスにダメージを及ぼすことがある。
The following example of etching a hole from a silicon layer on a semiconductor wafer to an underlying gate oxide layer is an example showing the importance of a high selectivity. Prior to performing the etching, a layer of photoresist material is formed on the surface of the silicon layer on the unetched areas. Therefore, no photoresist is formed in the region where the hole is etched. The desired result obtained in the etching process is that the silicon layer intended to form the hole is etched quickly but does not significantly etch the surrounding photoresist or underlying gate oxide layer. Therefore, it is desired that the etching selectivity of silicon to photoresist and silicon to oxide be high. If a moderate level of selectivity is not maintained, so-called "punch-through"
Conditions can arise that can etch the photoresist and oxide layers and damage devices formed on the workpiece.

【0017】 プラズマ種の密度は、エッチングプロセス中に示される選択比にかなりの影響
力がある。例えば、プロセスの化学的作用が、エッチャントガスの中性粒子が他
の材料(例えばフォトレジストおよび酸化物)よりも多くエッチングされる材料
(例えば、シリコン)と化学的に反応すれば、中性種の密度を高くすることによ
って、他の材料と比較してエッチングされる材料のエッチング速度を上げて所望
の選択比を達成しやすくなる。逆に、荷電粒子が物理的衝突を利用してワークピ
ース表面から材料を除去するため、同様にワークピースのさまざまな材料をすべ
てエッチングする傾向にある。したがって、プラズマにおける荷電粒子種の密度
を上げることによって、ワークピース材料のすべてのエッチング速度を上げるこ
とになる。
The density of the plasma species has a significant effect on the selectivity exhibited during the etching process. For example, if the process chemistry reacts chemically with a material (eg, silicon) in which the neutral particles of the etchant gas are etched more than other materials (eg, photoresist and oxide), neutral species By increasing the density of the material, it becomes easier to achieve a desired selectivity by increasing the etching rate of the material to be etched as compared with other materials. Conversely, charged particles tend to etch all of the various materials of the workpiece, as they utilize physical impact to remove material from the workpiece surface. Therefore, increasing the density of charged particle species in the plasma will increase the overall etch rate of the workpiece material.

【0018】 したがって、ある条件下でエッチャントガスの中性種を増加させると選択比が
高くなり、同様に中性種を減少させると選択比が低くなる。これに対し、ある条
件下で荷電粒子種の密度を上げると選択比が低くなり、ある条件下で荷電粒子を
減少させると、選択比が高くなる。したがって、エッチングプロセズの所望の選
択比を最適化する1つの方法は、エッチングしたい材料以外のものではなくエッ
チングしたい材料とエッチャントガスの中性粒子との反応を円滑に進めるだけの
量の荷電粒子をもつように、プラズマ内でのエッチャントガス中性粒子種と荷電
粒子種の比率を上げることである。
Therefore, when the neutral species of the etchant gas is increased under certain conditions, the selectivity increases, and when the neutral species is decreased, the selectivity decreases. On the other hand, increasing the density of charged particle species under certain conditions lowers the selectivity, and decreasing the charged particles under certain conditions increases the selectivity. Thus, one method of optimizing the desired selectivity of the etching process is to use an amount of charged particles sufficient to facilitate the reaction between the material to be etched and the neutral particles of the etchant gas, rather than the material to be etched. Is to increase the ratio between the neutral particle species and the charged particle species of the etchant gas in the plasma.

【0019】 エッチングワークピースに示されるエッチングのフィーチャ(feature)プロ ファイルは、プラズマでのエッチャントガス中性粒子種と荷電粒子種の相対密度
に依存することころが多い。ここで、エッチングのフィーチャプロファイルとい
う用語は、ワークピースの表面平面に対するワークピース上の材料層にエッチン
グされたフィーチャの側壁角度を指す。この角度は、ワークピースの表面平面に
対して側壁が鋭角を有する過度のアンダカット状態から、表面平面に対して側壁
が鈍角を有する著しく外側方向にテーパ状になった状態までさまざまである。一
般に、フィーチャ側壁がワークピースの表面平面に対して90度の角度を有する
直立形のプロファイルが望ましい。
The etching feature profile shown on an etched workpiece often depends on the relative densities of the neutral and charged particle species of the etchant gas in the plasma. Here, the term etched feature profile refers to the sidewall angle of a feature etched into a layer of material on a workpiece relative to a surface plane of the workpiece. This angle can range from an over-undercut condition in which the sidewall is at an acute angle to the surface plane of the workpiece to a significantly outwardly tapered sidewall having an obtuse angle to the surface plane. In general, an upright profile where the feature sidewalls have a 90 degree angle to the surface plane of the workpiece is desired.

【0020】 アンダカットプロファイルは、フィーチャがエッチングされている材料と化学
的に反応するエッチングガスの中性粒子が、上側にあるフォトレジスト層の下の
材料内に入り込んで下地層(例えば、前述したシリコンエッチングプロセスにお
けるゲート酸化物層など)に到達する場合に生じる。エッチャントガスの中性種
の密度が高くなればなるほど、アンダカットの程度も大きくなる。エッチャント
ガスの中性粒子によりアンダカットが生じる可能性を下げるために、エッチング
する材料にパッシベーション材料を堆積させることが多い。基本的に、通常プラ
ズマで形成されるパッシベーション材料は、ワークピースの表面上に堆積して、
エッチャントガスの中性粒子によりエッチングされ難くくする効果がある。例え
ば、前述したシリコンエッチングの流れでは、処理ガスの一部として酸素または
窒素が導入されることが多い。酸素または窒素は、半導体ウェハからエッチング
されたシリコンと反応し(または他の手段によりプラズマ内に導入され)、さま
ざまなシリコン・酸素含有材料や、シリコン・窒素含有材料を形成する。これら
の材料は、ウェハ表面上にさまざまな程度堆積し、エッチャントガスの中性粒子
のエッチング効果を妨げてしまう。通常の化学的作用と衝撃を与える荷電粒子種
の作用により、パッシベーション材料は、フィーチャの底部に形成されるよりも
エッチングされる材料に形成されるフィーチャの側壁により容易に形成されがち
である。これにより、フィーチャの側壁のエッチング速度が低下することになり
、アンダカットプロファイルが形成される傾向が減少することでもある。しかし
ながら、エッチャントの中性密度が高ければ、このパッシベーションプロセスを
行ってもアンダカットエッチングプロファイルを防ぐには不充分な場合がある。
The undercut profile is such that neutral particles of an etching gas that chemically react with the material whose features are being etched penetrate into the material beneath the overlying photoresist layer and form an underlayer (eg, as described above). (E.g., a gate oxide layer in a silicon etching process). The higher the neutral species density of the etchant gas, the greater the degree of undercut. A passivation material is often deposited on the material to be etched to reduce the possibility of undercuts due to neutral particles of the etchant gas. Basically, the passivation material, usually formed by a plasma, deposits on the surface of the workpiece,
This has the effect of making etching difficult by neutral particles of the etchant gas. For example, in the above-described flow of silicon etching, oxygen or nitrogen is often introduced as a part of the processing gas. Oxygen or nitrogen reacts (or is introduced into the plasma by other means) with silicon etched from the semiconductor wafer to form various silicon-oxygen-containing materials and silicon-nitrogen-containing materials. These materials accumulate to varying degrees on the wafer surface and interfere with the etching effect of neutral particles of the etchant gas. Due to the effects of charged chemical species that bombard normal chemistry, the passivation material tends to be more easily formed by the sidewalls of features formed in the etched material than by the bottom of the features. This will reduce the etch rate of the feature sidewalls and also reduce the tendency for undercut profiles to form. However, if the neutral density of the etchant is high, this passivation process may not be sufficient to prevent undercut etching profiles.

【0021】 荷電粒子種は、エッチングのフィーチャプロファイル対してこれとはまったく
違った影響を与える。プラズマでの荷電粒子種の密度が高くなると、前述した外
側方向にテーパ状になったプロファイルが形成されることがある。これは、エッ
チングのフィーチャの底部に衝撃を与える荷電粒子が衝突することによって、フ
ィーチャの側壁に引き続き再度堆積する可能性がある材料が除去される場合に生
じる。このようにして、テーパ状のプロファイルが生じてしまう。
The charged particle species has a very different effect on the feature profile of the etch. When the density of the charged particle species in the plasma increases, the above-described profile tapered outward may be formed. This occurs when charged particles impacting the bottom of the etched feature remove material that may subsequently redeposit on the feature sidewalls. In this way, a tapered profile results.

【0022】 したがって、所望のエッチングプロファイル角度を形成する1つの方法は、プ
ラズマ内でのエッチャントガスの中性粒子種と荷電粒子種の相対密度の平衡を保
つことである。言い換えれば、エッチャントガスの中性粒子種と荷電粒子種の濃
度を、それぞれがプロファイルに逆の影響を与えるものであるとして所望のエッ
チングプロファイルが形成されるようにすることである。
Thus, one way to create the desired etch profile angle is to balance the relative densities of the neutral and charged particle species of the etchant gas in the plasma. In other words, the concentrations of the neutral particle species and the charged particle species of the etchant gas are set so that a desired etching profile is formed on the assumption that each has an adverse effect on the profile.

【0023】 また、プラズマでのエッチングガスの中性粒子種と荷電粒子種の相対密度は、
エッチングされたワークピースにより示されるエッチング速度のマイクロローデ
ィングにも影響を与える。ここで、エッチング速度のマイクロローディングとは
、ワークピース上において間隔が広いエッチングフィーチャの領域よりも、間隔
が狭い過密のエッチングフィーチャの領域においてエッチング速度が異なる傾向
がある現象を指す。この現象が生じると、エッチングされる材料層に形成された
エッチングフィーチャの深さが不均一になり、望ましくない結果を生じる。エッ
チング速度のマイクロローディングは、複雑な現象である。しかしながら、プラ
ズマでのエッチャントガスの中性粒子種と荷電粒子種の比率を変えることで、こ
のエッチング速度のマイクロローディングの悪影響を変化させることができる。
The relative density of neutral particle species and charged particle species of the etching gas in the plasma is
It also affects the microloading of the etch rate exhibited by the etched workpiece. Here, microloading of the etch rate refers to the phenomenon that the etch rate tends to be different in areas of densely spaced etch features that are narrower than in areas of widely spaced etch features on the workpiece. When this occurs, the depth of the etched features formed in the layer of material being etched will be non-uniform, which will have undesirable consequences. Microloading of etch rates is a complex phenomenon. However, by changing the ratio between the neutral particle species and the charged particle species of the etchant gas in the plasma, the adverse effect of the microloading of the etching rate can be changed.

【0024】 したがって、プラズマでのエッチャントガスの中性粒子種と荷電粒子種の密度
を変化させる方法を用いることで、エッチング速度のマイクロローディング効果
を緩和することができる利点が得られる。
Therefore, by using the method of changing the density of the neutral particle species and the charged particle species in the etchant gas in the plasma, there is obtained an advantage that the microloading effect of the etching rate can be reduced.

【0025】 前述の発明の背景から推測できるように、プラズマ内に存在するエッチャント
ガスの中性粒子種と荷電粒子種の密度を制御できれば非常に望ましい状態が得ら
れる。特定の中性粒子対荷電粒子比を得ると、例えば、エッチング速度、選択比
、エッチングフィーチャプロファイルおよびエッチング速度のマイクロローディ
ングなどの処理特性を最適化できる。さらに、特定の荷電粒子種比率と中性種比
率により、上述した処理特性や他の特性までも高めることがある。
As can be inferred from the background of the invention described above, a very desirable state can be obtained if the density of the neutral particle species and the charged particle species in the etchant gas existing in the plasma can be controlled. Obtaining a specific neutral to charged particle ratio allows optimization of processing characteristics such as, for example, etch rate, selectivity, etch feature profile and microloading of etch rate. Further, depending on the specific charged particle species ratio and neutral species ratio, the above-described processing characteristics and other characteristics may be enhanced.

【0026】 しかしながら、これまで、あらゆる制限の中でも、解離速度(すなわち、中性
粒子がプラズマ内に集められる速度)とイオン化速度(すなわち、荷電粒子がプ
ラズマ内に集められる速度)が両方ともに処理チャンバ内に結合された電力レベ
ルに依存するものであるため、プラズマ内で形成される粒子種の相対密度の結び
付きを変えることができなかった。エッチャントガスの中性粒子の密度は、リア
クタ内での電力の入力を上げることによって高くしている。残念ながら、電力の
入力を上げると、プラズマ内での荷電粒子の密度も高くなってしまう。同様に、
異なる中性粒子の密度または異なるイオン化粒子の密度が関連しあっている。上
述したように、多くのエッチング特性の利点は、異なる中性粒子種間の比率や異
なる荷電粒子種間の比率を含むエッチャントガスの中性粒子種の密度と荷電粒子
種の密度間のある特定の比率を変えることで左右される。これは、ある種の密度
を高くすれば、別の種の密度が低くなる場合が多く、現在のプラズマリアクタお
よびエッチングプロセスでは達成できないものである。
However, to date, among all limitations, both the dissociation rate (ie, the rate at which neutral particles are collected in the plasma) and the ionization rate (ie, the rate at which charged particles are collected in the plasma) are both processing chambers. Because of the dependence on the power level coupled into the plasma, the coupling of the relative densities of the particle species formed in the plasma could not be changed. The density of neutral particles of the etchant gas is increased by increasing the power input in the reactor. Unfortunately, increasing the power input also increases the density of charged particles in the plasma. Similarly,
Different neutral particle densities or different ionized particle densities are relevant. As mentioned above, many of the advantages of etching properties are that the specificity between the density of neutral and charged particle species in the etchant gas, including the ratio between different neutral particle species and the ratio between different charged particle species, Is affected by changing the ratio of This is often not achieved with current plasma reactors and etching processes when increasing the density of one species often reduces the density of another.

【0027】 現在のリアクタでは、荷電粒子種と中性粒子種の密度は、電源電力、チャンバ
圧力および温度などのリアクタパラメータを調整して制御されている場合がある
。しかしながら、これらのパラメータを調整すると、プラズマ密度とプラズマイ
オンエネルギーに影響が出てくる。このように、プラズマ密度とプラズマイオン
エネルギーは種密度に関連している。プラズマを発生させ維持するために容量性
電源のみを用いるリアクタでは、3つの特性、すなわち、プラズマ密度、イオン
エネルギー、そして種密度が相互に関連している。例えば、プラズマ密度を高め
るために電源電力を上げると、それに応じてイオンエネルギーと荷電対中性粒子
密度比も上がる。このように、いくつかの特性のうち1つを変更するために電源
電力または圧力を調整すると、他の2つにも影響を及ぼすことになる。
In current reactors, the density of charged and neutral particle species may be controlled by adjusting reactor parameters such as power supply, chamber pressure and temperature. However, adjusting these parameters affects the plasma density and plasma ion energy. Thus, plasma density and plasma ion energy are related to seed density. In a reactor that uses only a capacitive power supply to generate and maintain a plasma, three properties are correlated: plasma density, ion energy, and species density. For example, if the power supply power is increased to increase the plasma density, the ion energy and the charge-to-neutral density ratio increase accordingly. Thus, adjusting the power supply or pressure to change one of several characteristics will also affect the other two.

【0028】 プラズマの特性を制御するために2つの電源を利用する、誘導結合形プラズマ
リアクタなどの他のリアクタを用いると、プラズマ特性を良好に制御できる。容
量性電源と共に誘導結合形電源などの第2の電源を用いると、プラズマ密度とプ
ラズマイオンエネルギーの関連を切り離すことができる。すなわち、プラズマ密
度とプラズマイオンエネルギーを別々に制御できるということである。しかしな
がら、これらのリアクタでは、種密度は依然としてプラズマ密度とイオンエネル
ギーに関連している。
If another reactor, such as an inductively coupled plasma reactor, that uses two power supplies to control the characteristics of the plasma is used, the plasma characteristics can be controlled well. Using a second power supply, such as an inductively coupled power supply, with a capacitive power supply can decouple the relationship between plasma density and plasma ion energy. That is, the plasma density and the plasma ion energy can be controlled separately. However, in these reactors, seed density is still related to plasma density and ion energy.

【0029】 さらに、どちらのタイプのリアクタも、Cl+とCl2 +の比率など、プラズマ 内の荷電粒子または中性粒子の特定種の密度を制御することができない。荷電粒
子または中性粒子の特定種の密度が制御できると、エッチングまたは堆積結果を
かなり良好に制御でき、ワークピースの処理精度を高めることができる。
Furthermore, neither type of reactor can control the density of certain species of charged or neutral particles in the plasma, such as the ratio of Cl + to Cl 2 + . Controlling the density of specific species of charged or neutral particles allows for much better control of the etching or deposition results and increases the processing accuracy of the workpiece.

【0030】 したがって、プラズマ種の密度制御を別々にすることによって、これらの密度
の比率を操作でき、エッチング速度、選択比、エッチングフィーチャプロファイ
ル、エッチング速度マイクロローディングなどのプロセス特性を最適化するプラ
ズマリアクタのデザインおよびエッチングプロセスが切望されている。
Thus, by separately controlling the density of the plasma species, the ratio of these densities can be manipulated and a plasma reactor that optimizes process characteristics such as etch rate, selectivity, etch feature profile, etch rate microloading, etc. There is a strong need for a design and etching process.

【0031】[0031]

【課題を解決するための手段】[Means for Solving the Problems]

本発明は、プラズマリアクタにおいてワークピースをプラズマアシスト処理す
るための装置および方法を提供する。本発明は、処理プラズマの主密度を別々に
制御するためのものである。
The present invention provides an apparatus and method for plasma assisting a workpiece in a plasma reactor. The present invention is for separately controlling the main density of the processing plasma.

【0032】 本発明の好適な実施形態において、本発明のプラズマリアクタは、主要処理チ
ャンバと少なくとも1つの補助供給源チャンバを有する。補助供給源チャンバは
、補助プラズマを発生し、主要チャンバに結合されている。補助プラズマは、ワ
ークピースを処理するための主要処理チャンバとの結合部を介して搬送される。
主要処理チャンバプラズマ内での中性粒子種と荷電粒子種の密度は、いくつかの
方法で制御されてもよい。
In a preferred embodiment of the present invention, the plasma reactor of the present invention has a main processing chamber and at least one auxiliary source chamber. The auxiliary source chamber generates an auxiliary plasma and is coupled to the main chamber. The auxiliary plasma is transported through a connection with a main processing chamber for processing the workpiece.
The density of neutral and charged particle species in the main processing chamber plasma may be controlled in several ways.

【0033】 補助プラズマをフィルタリングするためにフィルタが用いられてもよい。フィ
ルタは、補助供給源チャンバと主要処理チャンに挿間される。フィルタは、補助
プラズマから荷電粒子を選択的にフィルタリングできる。フィルタは、荷電粒子
の一部またはすべてをフィルタリングしてもよい。また、フィルタは、荷電粒子
をその質量や速度でフィルタリングしてもよい。フィルタリングされた補助プラ
ズマは、その後、主要処理チャンバに搬送されて、所望の主要処理プラズマ密度
を与える。
A filter may be used to filter the auxiliary plasma. The filter is interposed between the auxiliary source chamber and the main processing chamber. The filter can selectively filter charged particles from the auxiliary plasma. The filter may filter some or all of the charged particles. Also, the filter may filter the charged particles by their mass or velocity. The filtered auxiliary plasma is then transported to the main processing chamber to provide a desired main processing plasma density.

【0034】 また、主要処理チャンバは、主要プラズマを発生させることができるものであ
ってもよい。入射する補助プラズマは、主要チャンバが発生した主要プラズマと
混合されて、主要処理プラズマを形成する。主要処理プラズマ内での種密度は、
補助供給源プラズマと主要チャンバが発生したプラズマの密度を調整することに
よって調整されてもよい。フィルタは、主要処理チャンバ内に入る前の補助プラ
ズマから荷電粒子を選択的にフィルタリングするために用いられてもよい。
The main processing chamber may be capable of generating a main plasma. The incident auxiliary plasma is mixed with the main plasma generated by the main chamber to form a main process plasma. The seed density in the main processing plasma is
The auxiliary source plasma and the main chamber may be adjusted by adjusting the density of the generated plasma. A filter may be used to selectively filter charged particles from the auxiliary plasma before entering the main processing chamber.

【0035】 本発明は、いくつかの補助供給源チャンバを有し、別々のプラズマを主要処理
チャンバに供給するものであってもよい。ここでは、補助供給源プラズマの密度
は、組み合わせたプラズマが主要処理チャンバ内で所望の種密度を提供するよう
に調整され、フィルタリングされる。
The present invention may have several auxiliary source chambers and supply separate plasmas to the main processing chamber. Here, the density of the auxiliary source plasma is adjusted and filtered such that the combined plasma provides the desired seed density in the main processing chamber.

【0036】 また、主要処理チャンバ内での種密度は、熱解離種などの他の中性ラジカル種
を、補助供給源チャンバプラズマと組み合わせる主要チャンバに供給して組み合
わせることによって調整されてもよい。
The species density within the main processing chamber may also be adjusted by feeding and combining other neutral radical species, such as thermally dissociated species, with the auxiliary source chamber plasma.

【0037】 本発明は、所望のプラズマを発生させるために、ワークピースを処理するため
のプラズマを利用するあらゆる周知のリアクタのレイアウトまたは方法を利用し
てもよい。
The present invention may utilize any known reactor layout or method that utilizes a plasma to process a workpiece to generate a desired plasma.

【0038】[0038]

【発明の実施の形態】BEST MODE FOR CARRYING OUT THE INVENTION

本発明の好適な実施形態の以下の記載において、本発明の一部をなす添付の図
面を参照し、この図面は本発明を実行するにあたっての特定の実施形態を説明す
る目的で示されている。しかしながら、他の実施形態も利用可能であり、さらに
本発明の範囲から逸脱することなく構造的変化を加えることも可能であることを
理解されたい。
In the following description of the preferred embodiments of the present invention, reference is made to the accompanying drawings, which form a part hereof, and in which is shown by way of illustration specific embodiments in practicing the invention. . However, it should be understood that other embodiments are available and that structural changes may be made without departing from the scope of the invention.

【0039】 本発明によるワークピース処理方法(図1) 本発明は、プラズマ内で粒子種の密度を別々に制御するための方法を提供する
。本発明は、エッチャントガスの中性粒子種と荷電粒子種の制御、すなわち、中
性種対荷電粒子種、中性種対中性種および荷電粒子種対荷電粒子種の密度の制御
を個別に行うための方法を提供する。本発明の方法は、主要処理チャンバ102
に対して補助的なプラズマ供給源を発生させる。補助プラズマは、ワークピース
(図示せず)を含む主要処理チャンバまたは主要チャンバ102に搬送される。
The Workpiece Processing Method According to the Present Invention (FIG. 1) The present invention provides a method for separately controlling the density of particle species in a plasma. The present invention separately controls the neutral and charged particle species of the etchant gas, that is, the control of the density of neutral to charged particle species, neutral to neutral and charged particle to charged particle species. Provide a way to do it. The method of the present invention provides for the primary processing chamber 102
To generate an auxiliary plasma source. The auxiliary plasma is transported to a main processing chamber or main chamber 102 containing a workpiece (not shown).

【0040】 本発明の方法は、補助供給源チャンバ104を用いる。補助供給源チャンバ1
04は、処理ガスを点火して補助プラズマを形成するための少なくとも1つの放
射エネルギーアプリケータまたは補助電源電力アプリケータ112を有する。補
助電源電力アプリケータ112は、マイクロ波放電、誘導放電、静電容量放電、
電子ビーム、紫外線などを用いるタイプのものであってよい。以下に記載される
ように、現在は、補助電源112の磁気強化形の誘導結合形電源などのヘリコン
波源を補助電源112が利用することが好ましい。しかしながら、基本的に、プ
ラズマリアクタの主要処理チャンバに対して使用される周知のチャンバ構造であ
ればいかなる構造でも補助供給源チャンバ112を形成するさいに使用してもよ
い。
The method of the present invention uses an auxiliary source chamber 104. Auxiliary supply chamber 1
04 has at least one radiant energy applicator or auxiliary power applicator 112 for igniting the process gas to form an auxiliary plasma. The auxiliary power applicator 112 includes a microwave discharge, an inductive discharge, a capacitance discharge,
A type using an electron beam, ultraviolet light, or the like may be used. As described below, it is presently preferred that auxiliary power supply 112 utilize a helicon wave source, such as a magnetically enhanced inductively coupled power supply of auxiliary power supply 112. However, in principle, any known chamber structure used for the main processing chamber of the plasma reactor may be used to form the auxiliary source chamber 112.

【0041】 ワークピースの処理に使用される主要チャンバ102は、補助供給源チャンバ
104に結合されているため、補助供給源チャンバ104で発生するプラズマ粒
子が主要処理チャンバ102内に流入できる。主要処理チャンバ102は、図1
に示されていない第1および第2の主要チャンバ電源をもつものであってもよい
。以下にさらに記載するように、現在は、第1および第2の主要チャンバ電源と
して、誘導結合形プラズマ電源と容量性バイアス電源をもつプラズマリアクタを
使用することが好ましい。しかしながら、ワークピース処理チャンバ構造であれ
ばいかなる構造でも主要処理チャンバ102として使用してもよい。例えば、マ
イクロ波、紫外線、電子ビームなどを処理チャンバの電源として使用してもよい
The main chamber 102 used for processing the workpiece is coupled to the auxiliary source chamber 104 so that plasma particles generated in the auxiliary source chamber 104 can flow into the main processing chamber 102. The main processing chamber 102 is shown in FIG.
May have first and second main chamber power supplies not shown in FIG. As described further below, it is presently preferred to use a plasma reactor having an inductively coupled plasma power supply and a capacitive bias power supply as the first and second main chamber power supplies. However, any configuration of the workpiece processing chamber may be used as the main processing chamber 102. For example, microwaves, ultraviolet rays, electron beams, or the like may be used as a power source for the processing chamber.

【0042】 補助チャンバ104と主要処理チャンバ102に、フィルタ108が挿間され
てもよい。導管フィルタ108は、主要処理チャンバ102に入る荷電粒子種を
フィルタリングするように作用する。フィルタ108は、さまざまな種を選択的
にフィルタリングするように選択されてもよい。また、フィルタ108は、主要
処理チャンバ102に入る荷電粒子を完全または選択的に通過させないようにブ
ロックするように選択されてもよい。さらに、フィルタ108は、質量や速度の
点かまたはそれに関係なく荷電粒子種をフィルタリングするように選択されても
よい。
A filter 108 may be inserted between the auxiliary chamber 104 and the main processing chamber 102. Conduit filter 108 operates to filter charged particle species entering main processing chamber 102. Filter 108 may be selected to selectively filter various species. Also, the filter 108 may be selected to block charged particles entering the main processing chamber 102 from being completely or selectively blocked. Further, the filter 108 may be selected to filter charged particle species in terms of mass and / or velocity.

【0043】 フィルタ108は、所望のプラズマ種の密度に応じてさまざまな方法で形成さ
れるものであってよい。例えば、フィルタは、再結合タイプ、静電気タイプ、R
Fタイプ、磁場タイプ、これらのタイプの組み合わせまたは他のタイプのフィル
タリング方法または装置を用いてもよい。フィルタのタイプに関しては以下にさ
らに詳細に記載する。フィルタ108の選択は、補助チャンバおよび主要チャン
バ104と102で使用される処理ガスのタイプと、それぞれのチャンバで発生
または導入される種のタイプと、主要処理チャンバ102内のワークピースを処
理するために使用される種の所望の密度に左右されるものである。
The filter 108 may be formed in various ways depending on the density of the desired plasma species. For example, the filters are recombination type, electrostatic type, R
F-types, magnetic-field types, combinations of these types, or other types of filtering methods or devices may be used. The types of filters are described in more detail below. The choice of filter 108 depends on the type of processing gas used in the auxiliary and main chambers 104 and 102, the type of species generated or introduced in each chamber, and for processing workpieces in the main processing chamber 102. Depends on the desired density of the species used.

【0044】 以下にさらに記載するが、補助または中性粒子供給源チャンバ104は、中性
粒子種と荷電粒子種の両方を発生するものであってよいが、フィルタ108は、
中性粒子種のみが主要チャンバ102に供給されるように選択されてもよい。特
に、これは本発明のいくつかの実施形態では好ましいが、主要な量の中性種、あ
る量の中性種とある量の荷電種、主要な量の荷電種、選択された量の中性種、ま
たは選択された量の中性種が主要処理チャンバ102に供給されるように、適切
な補助供給源チャンバ104とフィルタ108のパラメータを選択することによ
って、主要チャンバ102に供給される中性種と荷電種の密度が制御されてもよ
い。
As described further below, the auxiliary or neutral particle source chamber 104 may generate both neutral and charged particle species, while the filter 108
Only neutral particle species may be selected to be supplied to main chamber 102. In particular, although this is preferred in some embodiments of the present invention, a major amount of neutral species, a certain amount of neutral species and a certain amount of charged species, a major amount of charged species, a selected amount of By selecting the appropriate auxiliary source chamber 104 and filter 108 parameters, the gender, or selected amount of neutral species, is provided to the main processing chamber 102. The density of sex species and charged species may be controlled.

【0045】 フィルタリングされた補助供給源プラズマは、主要チャンバ102に供給され
、このチャンバ内でワークピースを処理するために使用されてもよいし、他の処
理ガスと結合させて所望の種密度を有する処理プラズマを発生させてもよい。フ
ィルタ108は、主要処理チャンバ102に電源電力を供給するものの代替物か
またはそれ以外の代替物として使用されて、主要処理チャンバ102内の処理プ
ラズマ種密度を制御してもよい。
A filtered auxiliary source plasma is supplied to the main chamber 102, which may be used to process a workpiece in this chamber, or may be combined with other processing gases to provide a desired seed density. May be generated. The filter 108 may be used as an alternative to supplying power to the main processing chamber 102 or as an alternative to control the processing plasma species density within the main processing chamber 102.

【0046】 さらに、本発明による中性粒子種と荷電粒子種の独立制御は、図3の参照番号
502と504で示されているように、処理チャンバ102にそれぞれが異なる
種を供給して処理プラズマを形成するように、2つ以上の補助チャンバ104を
用いて達成してもよい。
Further, the independent control of the neutral particle species and the charged particle species according to the present invention is performed by supplying different species to the processing chamber 102 as shown by reference numerals 502 and 504 in FIG. This may be achieved by using two or more auxiliary chambers 104 to form a plasma.

【0047】 例えば、図9を参照すると、1つの供給源チャンバ502は、主要な量の荷電
粒子種を発生し、もう1つの供給源チャンバ504は、主要な量の中性粒子種を
発生してもよい。本発明のこの実施形態では、フィルタは、補助供給源チャンバ
502、504と共に用いられてもよいし、そうでなくてもよいことに留意する
ことが重要である。補助供給源チャンバ502、504のプラズマ密度と、プラ
ズマの組み合わせ率を制御することによって、主要処理チャンバ102内の相対
種密度の制御が可能となる。しかしながら、補助供給源502、504の1つと
主要チャンバ512にフィルタを挿間することによって、主要処理チャンバ51
2内の種密度の制御がさらに高度にできるようになる。つまり、1つのチャンバ
は、主に荷電粒子種か、または主に選択された荷電粒子種を発生するが、もう1
つのチャンバは、主に中性種を発生し、主密度の制御を可能にする方法の1つに
なる場合がある。
For example, referring to FIG. 9, one source chamber 502 generates a major amount of charged particle species, and another source chamber 504 generates a major amount of neutral particle species. You may. It is important to note that in this embodiment of the invention, the filter may or may not be used with the auxiliary source chambers 502, 504. By controlling the plasma density of the auxiliary source chambers 502, 504 and the combination ratio of the plasma, the relative seed density in the main processing chamber 102 can be controlled. However, by interposing a filter between one of the auxiliary sources 502, 504 and the main chamber 512, the main processing chamber 51
The control of the seed density within 2 can be further enhanced. That is, one chamber will generate primarily charged particle species, or a predominantly selected charged particle species, while another
One chamber may generate one of the predominantly neutral species and may be one of the ways to allow control of the main density.

【0048】 なんらかの別の手段で形成された中性ラジカルの別の供給源が、処理チャンバ
内の種密度を制御するために利用されてもよい。例えば、熱解離が、処理チャン
バ内に中性ラジカルを発生させるかまたは処理チャンバ内に搬送するために使用
されてもよい。
Another source of neutral radicals formed by some other means may be utilized to control the seed density in the processing chamber. For example, thermal dissociation may be used to generate or transport neutral radicals into the processing chamber.

【0049】 ワークピースは、主要チャンバ内で処理プラズマおよび他の処理ガスに晒され
る。現在は、図1に示されていないが、主要チャンバに容量性バイアス電力を印
加してワークピースにかける荷電粒子エネルギーまたはイオンエネルギーを調節
することが好ましい。
The workpiece is exposed to the processing plasma and other processing gases in the main chamber. Although not currently shown in FIG. 1, it is preferable to apply a capacitive bias power to the main chamber to adjust the charged particle or ion energy applied to the workpiece.

【0050】 処理ガス、発生種およびプラズマアシスト処理には多くの可能な組み合わせが
あるため、中性粒子種と荷電粒子種を別々に制御する方法は、以下に開示する特
定の実施形態に限定されるものではない。以下の特定の例は、本発明の方法を実
行するために可能な実施形態を説明するものである。しかしながら、本発明は、
以下に記載する特定の例または実施形態に限定されるべきものではない。
Because there are many possible combinations of process gas, generated species and plasma assisted processes, the method of controlling neutral and charged particle species separately is limited to the specific embodiments disclosed below. Not something. The following specific examples illustrate possible embodiments for performing the method of the present invention. However, the present invention
It should not be limited to the specific examples or embodiments described below.

【0051】 本発明の現在好適な実施形態(図2Aから図10) 本発明は、処理特性を最適化するためのプラズマ種密度の個別制御を提供する
。本発明は、すべてまたは選択された荷電粒子種に対する中性粒子種の密度を別
々に制御するためのものである。また、第2の荷電粒子種に対する第1の荷電粒
子種の密度の制御も提供する。処理プラズマでの主密度は、特に、補助プラズマ
種密度、フィルタの選択および主要チャンバで発生したプラズマの種密度により
決定されることがある。さまざまなタイプの周知のプラズマリアクタ、いくつか
の可能なタイプの粒子フィルタおよびさまざまなタイプのワークピース処理技術
があるため、種密度を制御できる本発明にはいくつかの可能な実施形態がある。
図1、3、4、6、7は、例えば、本発明の現在好適な実施形態のいくつかの非
制限的な変形例を示している。図2Aから2Fは、本発明の補助供給源チャンバ
のいくつかの非制限的な変形例を示している。図5A、5B、8Aから8Dは、
本発明のいくつかの実施形態で利用されるフィルタのいくつかの非制限的な変形
例を示している。
Presently Preferred Embodiments of the Present Invention (FIGS. 2A-10) The present invention provides individual control of plasma species density to optimize processing characteristics. The present invention is for separately controlling the density of neutral particle species for all or selected charged particle species. It also provides control of the density of the first charged particle species relative to the second charged particle species. The main density in the processing plasma may be determined by, among other things, the auxiliary plasma seed density, the choice of filter and the seed density of the plasma generated in the main chamber. Due to the various types of known plasma reactors, several possible types of particle filters, and various types of workpiece processing techniques, there are several possible embodiments of the present invention that can control seed density.
FIGS. 1, 3, 4, 6, and 7 show, for example, some non-limiting variations of the presently preferred embodiment of the present invention. 2A to 2F show some non-limiting variations of the auxiliary source chamber of the present invention. 5A, 5B, 8A to 8D,
FIG. 7 illustrates some non-limiting variations of filters utilized in some embodiments of the present invention.

【0052】 図1を参照すると、本発明により提案さているように、プラズマでの荷電粒子
密度の制御と、プラズマリアクタ100の主要処理チャンバ102のプラズマで
のエッチャントガスまたは他のタイプの中性粒子の密度の制御とを別々に行うた
めには、図1に示すように、補助供給源チャンバ104をリアクタに組み合わせ
ることによって達成される。この補助供給源チャンバ104は、リアクタの主要
処理チャンバ102の外側に配置される。
Referring to FIG. 1, control of the charged particle density in the plasma and etchant gas or other types of neutral particles in the plasma of the main processing chamber 102 of the plasma reactor 100 as proposed by the present invention. Separate control of the density is achieved by combining an auxiliary source chamber 104 with the reactor, as shown in FIG. This auxiliary source chamber 104 is located outside the main processing chamber 102 of the reactor.

【0053】 補助供給源チャンバ104は、供給源チャンバの内部を照射し、そこを流れる
処理ガスからプラズマを形成可能な放射エネルギーアプリケータ112を有する
。このアプリケータ112は、マイクロ波放電、誘導放電または静電容量放電の
いずれかを用いて、補助供給源チャンバ104の内部を照射してプラズマを点火
するタイプのものであってよい。また、補助供給源チャンバ104は、なんらか
の適切な従来の温度制御装置(図示せず)で冷却して、プラズマがチャンバ内で
点火されたときにチャンバを過熱せず、さらにダメージを与えないようにするこ
とが好ましい。
The auxiliary source chamber 104 has a radiant energy applicator 112 capable of illuminating the interior of the source chamber and forming a plasma from the process gas flowing therethrough. The applicator 112 may be of the type that irradiates the interior of the auxiliary supply chamber 104 and ignites the plasma using any of a microwave discharge, an inductive discharge, or a capacitive discharge. Also, the auxiliary source chamber 104 may be cooled by any suitable conventional temperature control device (not shown) so as to not overheat and damage the chamber when the plasma is ignited in the chamber. Is preferred.

【0054】 補助供給源チャンバ104は、エッチャントガスを含むであろう処理ガス源1
10にその入口で接続され、さらに主要処理チャンバ102のガス分配装置10
6にその出口で接続されている。
Auxiliary source chamber 104 includes a process gas source 1 that will contain an etchant gas.
10 at its inlet and furthermore the gas distribution device 10 of the main processing chamber 102.
6 at its outlet.

【0055】 これまではリアクタの主要処理チャンバ102内に直接供給された処理ガスの
一部であったエッチャントガスまたは他の処理ガスは、補助供給源チャンバ10
4を介して最初に要求される。ここに記載する本発明の実施形態において、処理
ガスの残りの組成物は、通常の方法で主要処理チャンバ102内に供給される。
The etchant gas or other process gas, which was previously a part of the process gas directly supplied into the main process chamber 102 of the reactor, is now in the auxiliary source chamber 10.
Requested first through 4. In the embodiments of the invention described herein, the remaining composition of the processing gas is supplied into the main processing chamber 102 in a conventional manner.

【0056】 補助供給源チャンバ104内にはそこを流れるガスからプラズマが形成される
。ガスはプラズマ内で分解して、特に、中性粒子と荷電粒子を形成する。
A plasma is formed in the auxiliary source chamber 104 from the gas flowing therethrough. The gas decomposes in the plasma to form, inter alia, neutral and charged particles.

【0057】 補助供給源チャンバ104内に形成された中性粒子と荷電粒子の分解ガスは、
補助供給源チャンバ104から流出して主要処理チャンバ102に流入し、そこ
で処理ガスの他の組成物を混合される。2つのガス成分は別々のガス分配装置(
図示せず)を介して主要チャンバ102に選択的に供給されるが、組み合わせた
ガスを主要チャンバ内に供給可能な従来のガス分配装置106のマニホールドで
、このような混合を起こすことが好ましい。
The decomposition gas of the neutral particles and the charged particles formed in the auxiliary supply chamber 104
It exits the auxiliary source chamber 104 and enters the main processing chamber 102 where the other composition of the processing gas is mixed. The two gas components are separated by separate gas distribution devices (
Although not selectively supplied to the main chamber 102 via a not-shown), it is preferred that such mixing occur in a manifold of a conventional gas distribution device 106 capable of supplying a combined gas into the main chamber.

【0058】 図1の実施形態では、補助供給源チャンバ104で発生させたプラズマは、中
性粒子供給源チャンバ導管108を介して主要処理チャンバ102内に供給され
る。補助供給源チャンバ104から主要処理チャンバ102への移行中、導管1
08を介して、補助供給源チャンバ内のプラズマで発生した荷電粒子が再結合し
て、中性粒子またはエッチャントガス分子を形成する。
In the embodiment of FIG. 1, plasma generated in the auxiliary source chamber 104 is supplied into the main processing chamber 102 via a neutral source chamber conduit 108. During transition from auxiliary source chamber 104 to main processing chamber 102, conduit 1
Via 08, the charged particles generated by the plasma in the auxiliary source chamber recombine to form neutral particles or etchant gas molecules.

【0059】 中性粒子供給源チャンバ導管108は、主要処理チャンバ102に到達すると
きでも中性粒子はほぼ完全な状態であるが、ほぼすべての荷電粒子は確実になく
なるような内径および長さをもつ。これは、エッチャントガスの中性粒子が荷電
粒子に比べて比較的安定しているため可能である。しかしながら、導管が非常に
長いかまたは断面領域が非常に小さければ、中性粒子は再結合してエッチャント
ガス分子になる場合がある。一方では、導管が非常に短いかまたは断面領域が非
常に大きければ、荷電粒子の大半がリアクタの主要処理チャンバに到達する可能
性がある。
The neutral particle source chamber conduit 108 has an inside diameter and length that ensures that neutral particles are nearly perfect when reaching the main processing chamber 102, but that almost all charged particles are gone. Have. This is possible because the neutral particles of the etchant gas are relatively stable compared to the charged particles. However, if the conduit is very long or the cross-sectional area is very small, the neutral particles may recombine into etchant gas molecules. On the other hand, if the conduit is very short or the cross-sectional area is very large, most of the charged particles can reach the main processing chamber of the reactor.

【0060】 管状の導管が、約2.5cmの内径と約0.5mの長さをもつものであれば、
中性粒子供給源チャンバから主要処理チャンバに導入されるガスから荷電粒子を
ほぼ完全になくすことができる。もちろん、他のサイズの導管を用いても、良好
な結果を得ることはできる。本発明の実施形態での重要なデザイン上の基準は、
チャンバに到達するガスが、所望の濃度のエッチャントガスの中性粒子を含み、
さらに荷電粒子の濃度をできるだけ最小にすることである。これらの濃度を発生
可能な導管であればどのようなサイズでも適用可能である。
If the tubular conduit has an inner diameter of about 2.5 cm and a length of about 0.5 m,
Charged particles can be almost completely eliminated from gases introduced from the neutral particle source chamber into the main processing chamber. Of course, good results can be obtained with other sized conduits. Important design criteria in embodiments of the present invention are:
The gas reaching the chamber contains a desired concentration of neutral particles of the etchant gas;
Another goal is to minimize the concentration of charged particles as much as possible. Any size of conduit capable of generating these concentrations is applicable.

【0061】 中性粒子供給源チャンバ導管108は、1種類の材料からなるか、またはそこ
を流れる処理ガスの中性粒子と荷電粒子による衝撃に耐性な材料で一直線に形成
されることが好ましい。例えば、処理ガスがCl中性粒子を発生するエッチャン
トガスの塩素を含む場合、導管は保護用のテフロン(登録商標名)で形成される
かまたはそれにより一直線に形成される。
The neutral particle source chamber conduit 108 is preferably made of a single material or formed in a straight line of a material that is resistant to the bombardment of neutral and charged particles of the process gas flowing therethrough. For example, if the process gas contains chlorine in an etchant gas that generates Cl neutrals, the conduit is formed of protective Teflon or is thereby formed in a straight line.

【0062】 適切なサイズの中性粒子供給源導管を用いることにより、補助供給源チャンバ
104から主要チャンバ102に入るガスのほとんどは、ある程度の量のエッチ
ャントガス分子と、エッチャントガスの中性粒子からなる。荷電粒子は、ガスが
導管を移動する速度、例えば、少なくともプラズマアシストエッチング処理と一
致する流量の速度に関係なくほぼ完全に再結合することが分かっているため、補
助供給源チャンバ104から主要処理チャンバ102へと流れるガスの流量は特
に問題とされないことに留意されたい。
By using an appropriately sized neutral particle source conduit, most of the gas entering the main chamber 102 from the auxiliary source chamber 104 will have some amount of etchant gas molecules and neutral particles of the etchant gas. Become. Since the charged particles have been found to recombine almost completely regardless of the speed at which the gas travels through the conduit, for example, at least at a flow rate consistent with the plasma assisted etching process, the auxiliary source chamber 104 to the main processing chamber Note that the flow rate of the gas flowing to 102 is not critical.

【0063】 図2Aは、マイクロ波放電を用いるプラズマを形成するように構成した補助供
給源チャンバ200の実施形態を示す。この実施形態では、供給源チャンバ20
0は、サファイアなどの誘電体材料からなるものが好ましいアプリケータ管20
2を含む。アプリケータ管202は、適切な入口供給ライン204を介してガス
供給源(図示せず)に一端で接続され、さらに中性粒子供給源チャンバ導管20
6の入口に他端で接続されており、このこれはプラズマリアクタの主要処理チャ
ンバの入口に接続される。アプリケータ管202は、入口供給ライン204と導
管206間にある場所でマイクロ波供給源208を通過する。マイクロ波供給源
208は、アプリケータ管202内にガスがそこから主要処理チャンバへと進む
途中でプラズマを発生させる。
FIG. 2A shows an embodiment of an auxiliary source chamber 200 configured to form a plasma using a microwave discharge. In this embodiment, the source chamber 20
0 is an applicator tube 20 preferably made of a dielectric material such as sapphire.
2 inclusive. The applicator tube 202 is connected at one end to a gas supply (not shown) via a suitable inlet supply line 204 and further includes a neutral particle source chamber conduit 20.
6 at the other end, which is connected to the inlet of the main processing chamber of the plasma reactor. Applicator tube 202 passes through microwave source 208 at a location between inlet supply line 204 and conduit 206. The microwave source 208 generates a plasma in the applicator tube 202 as the gas travels from there to the main processing chamber.

【0064】 図2Bは、誘導放電を用いてプラズマを形成するように構成した補助供給源チ
ャンバ300の実施形態を示す。チャンバ300は、円形状の上部302と底部
304と、筒状の側壁306を有する。誘導コイルアンテナ308がチャンバ3
00の側壁に巻きつけられており、高周波(RF)プラズマ電源電力発生器31
0にインピーダンス整合回路312を介して接続されて、チャンバ内にRF電力
を供給する。側壁306は、誘電体または半導体材料からなり、RFエネルギー
のチャンバ内への移行をあまり妨げないようにすることが好ましい。
FIG. 2B illustrates an embodiment of an auxiliary source chamber 300 configured to form a plasma using an inductive discharge. The chamber 300 has a circular top 302 and a bottom 304, and a cylindrical side wall 306. Induction coil antenna 308 is in chamber 3
00, a radio frequency (RF) plasma power generator 31
0 through an impedance matching circuit 312 to supply RF power into the chamber. The sidewall 306 is preferably made of a dielectric or semiconductor material and does not significantly impede the transfer of RF energy into the chamber.

【0065】 従来のガス供給源(図示せず)からの入口ライン314を介して補助供給源チ
ャンバ300内に処理ガスが導入される。処理ガスは、チャンバ300内で分解
されて、中性粒子供給源チャンバ導管316を介して排出される。導管316は
、前述したように、主要処理チャンバの入口に接続される。
Processing gas is introduced into the auxiliary source chamber 300 via an inlet line 314 from a conventional gas source (not shown). Process gas is decomposed in chamber 300 and exhausted via neutral source chamber conduit 316. Conduit 316 is connected to the inlet of the main processing chamber, as described above.

【0066】 上述したチャンバ構造は、例示のみを目的として示されている。他の多くのチ
ャンバ形状も同様に実行可能である。例えば、補助供給源チャンバは、多くの市
販されている入手可能なプラズマリアクタの主要処理チャンバと類似したドーム
状の頂部を有する。(以下に記載するように、図2D、4、6、7は、ドーム状
の頂部を有する補助供給源チャンバの実施形態を示している。)このようなドー
ム状のリアクタのコイルアンテナは、ドーム状の頂部のすべてかまたは一部を囲
むものであってもよい。
The above described chamber structure is shown for illustrative purposes only. Many other chamber configurations are possible as well. For example, the auxiliary source chamber has a domed top similar to the main processing chamber of many commercially available plasma reactors. (As described below, FIGS. 2D, 4, 6, and 7 show an embodiment of an auxiliary source chamber having a dome-shaped top.) The coil antenna of such a dome-shaped reactor is a dome It may surround all or part of the top of the shape.

【0067】 また、基本的に、プラズマリアクタの主要処理チャンバ用に用いられる周知の
チャンバ構造であればどれでも、本発明と関連する補助供給源チャンバを製造す
るさいに使用可能である。
Also, basically, any known chamber structure used for the main processing chamber of a plasma reactor can be used in fabricating the auxiliary source chamber associated with the present invention.

【0068】 図2Cは、ヘリコン波を形成するために誘導放電を用いてプラズマを形成する
ように構成した補助供給源チャンバ300の別の実施形態である。図2Cの実施
形態は、頂部302の付近と底部304の付近でチャンバ壁306の周りにルー
プ状に巻かれた誘導アンテナ308を有する。アンテナは、2つのループでのR
F電流の位相を180度ずらしてヘリコン波を伝播するように設けられる。内側
と外側の電磁石309Aと309Bは、アンテナ308の外側の壁306の周辺
に配置される。電磁石309Aと309Bは、補助チャンバ300内で誘導プラ
ズマ発生を高めるように作用する。
FIG. 2C is another embodiment of an auxiliary source chamber 300 configured to form a plasma using an inductive discharge to form a helicon wave. The embodiment of FIG. 2C has an inductive antenna 308 looped around a chamber wall 306 near the top 302 and near the bottom 304. The antenna has R in two loops
It is provided so that the phase of the F current is shifted by 180 degrees to propagate the helicon wave. The inner and outer electromagnets 309A and 309B are located around the outer wall 306 of the antenna 308. The electromagnets 309A and 309B act to enhance the induction plasma generation in the auxiliary chamber 300.

【0069】 図2Dは、誘導結合形補助供給源チャンバ300の別の実施形態を示す。図2
Dの実施形態では、頂部302はドーム状である。図2Cに示すように、誘電ア
ンテナ308は、頂部302の付近と底部304の付近でチャンバ壁306の周
りにループ状に巻きつけられ、2つのループのRF電流の位相を180度ずらし
てヘリコン波を伝播するように設けられる。内側と外側の電磁石309Aと30
9Bは、誘導アンテナの外側で、補助チャンバ300の壁306の周辺に配置さ
れて、誘導プラズマの発生を高める。この補助供給源チャンバ300の実施形態
は、磁気強化形の誘導結合形プラズマリアクタ、またはMEICPリアクタと一
般に呼ばれる。m=0のヘリコン波でこのタイプのリアクタを動作させることが
好ましい。
FIG. 2D shows another embodiment of the inductively coupled auxiliary source chamber 300. FIG.
In the embodiment of D, the top 302 is dome-shaped. As shown in FIG. 2C, the dielectric antenna 308 is wound in a loop around the chamber wall 306 near the top 302 and near the bottom 304, and the helicon wave is shifted 180 degrees out of phase between the RF currents of the two loops. Is provided. Inner and outer electromagnets 309A and 30
9B is located outside the inductive antenna and around the wall 306 of the auxiliary chamber 300 to enhance the generation of inductive plasma. This embodiment of the auxiliary source chamber 300 is commonly referred to as a magnetically enhanced inductively coupled plasma reactor, or a MEICP reactor. It is preferred to operate this type of reactor with helicon waves at m = 0.

【0070】 図2Dの実施形態では、ドーム状の頂部302に入口ライン314が取りつけ
られて示されており、さらにチャンバ300の底部304から延びるように導管
316が示されている。導管316は、前述したように、主要処理チャンバの入
口に接続されてもよい。この構造は、補助チャンバ300を介してより層流の処
理ガスとプラズマを供給し、ある実施形態では、プラズマがより容易に主要処理
チャンバ102へと直接供給されることが可能になる。
In the embodiment of FIG. 2D, an inlet line 314 is shown attached to the dome-shaped top 302, and a conduit 316 is shown extending from the bottom 304 of the chamber 300. Conduit 316 may be connected to the inlet of the main processing chamber, as described above. This configuration provides a more laminar flow of processing gas and plasma via the auxiliary chamber 300, which in some embodiments allows the plasma to be more directly supplied directly to the main processing chamber 102.

【0071】 図2Eおよび図2Fは、静電容量放電を用いてプラズマを形成するように構成
した補助供給源チャンバ400の実施形態を示す。チャンバ400の外部は、円
形状の頂部402と底部404、さらに筒状の側壁406をもつという点で図2
Bと図2Cのチャンバに類似したものである。従来のガス供給源(図示せず)か
ら始まる入口ライン408を介して補助供給源チャンバ400内に処理ガスが導
入される。チャンバ400内で一度分解されたガスは、主要処理チャンバの入口
に接続される前述した補助供給源チャンバ導管410を介して排出される。
FIGS. 2E and 2F show an embodiment of an auxiliary source chamber 400 configured to form a plasma using capacitive discharge. The exterior of the chamber 400 has a circular top 402 and bottom 404 and a cylindrical sidewall 406 in FIG.
B and similar to the chamber of FIG. 2C. Processing gas is introduced into the auxiliary source chamber 400 via an inlet line 408 starting from a conventional gas source (not shown). The gas once decomposed in the chamber 400 is exhausted through the aforementioned auxiliary source chamber conduit 410 connected to the main processing chamber inlet.

【0072】 チャンバ400は、誘導コイルアンテナの代わりに一対の電極412、414
を用いた点が前述した誘導放電の実施形態とは異なる。図2Eに示す第1の静電
容量放電の実施形態では、これらの電極412、414はチャンバ400内にあ
り、互いに対面させることで、その間にプラズマ形成領域を形成する。電極41
2、414は、プラズマ電源電力発生器416の反対側に電気的に接続されてい
る。電極412、414がチャンバ400内にあるので、蓋402、底部404
および側壁406は、誘電体または半導体材料を含むあらゆる適切な材料か、ま
たはアルミニウムやステンレス鋼などの金属からなるものであってよい。
The chamber 400 has a pair of electrodes 412 and 414 instead of an induction coil antenna.
Is different from the above-described embodiment of the inductive discharge. In the first capacitive discharge embodiment shown in FIG. 2E, these electrodes 412, 414 are in the chamber 400 and face each other to form a plasma forming region therebetween. Electrode 41
2, 414 are electrically connected to the opposite side of the plasma power generator 416. Since the electrodes 412, 414 are in the chamber 400, the lid 402, the bottom 404
And the sidewalls 406 may be of any suitable material, including dielectric or semiconductor materials, or of a metal such as aluminum or stainless steel.

【0073】 図2Fに示されているこれに替わる静電容量放電の実施形態では、電極412
'、414'は、チャンバ400'の側壁406の外側の両側に配置されて、互い に対面してチャンバ内のプラズマ形成領域を形成している。電極412'と41 4'は、図2Eに示した第1の実施形態と同じようにプラズマ電源電流発生器4 16に接続されている。しかしながら、電極412'、414'はチャンバの側壁
406の外側にあるため、側壁は誘電体または半導体材料からなることによって
、RFエネルギーのチャンバ内への移行をあまり妨げないようにする。
In the alternative capacitive discharge embodiment shown in FIG. 2F, the electrode 412
'414' are located on both sides outside the sidewall 406 of the chamber 400 'and face each other to form a plasma forming region within the chamber. The electrodes 412 'and 414' are connected to a plasma power current generator 416, as in the first embodiment shown in FIG. 2E. However, because the electrodes 412 ′, 414 ′ are outside the chamber sidewall 406, the sidewall is made of a dielectric or semiconductor material so as to not significantly impede the transfer of RF energy into the chamber.

【0074】 図2Aから図2Fは、本発明の補助チャンバの例を示している。本発明の補助
チャンバには他の形状または電源が利用されてもよい。例えば、紫外線、電子ビ
ームなどの電源を利用することも可能である。上述したように、基本的に、プラ
ズマリアクタの主要処理チャンバに用いられている周知のチャンバ構造であれば
どれでも、本発明に関連する補助供給源チャンバを製造するさいに用いることが
可能である。
FIG. 2A to FIG. 2F show examples of the auxiliary chamber of the present invention. Other shapes or power sources may be utilized for the auxiliary chamber of the present invention. For example, it is also possible to use a power supply such as an ultraviolet ray or an electron beam. As mentioned above, basically any known chamber structure used for the main processing chamber of a plasma reactor can be used to manufacture the auxiliary source chamber associated with the present invention. .

【0075】 図4を参照すると、図2Dに示す本発明の補助供給源チャンバ300は、ドー
ム状の頂部の上側に位置する誘導コイルアンテナを有する主要処理チャンバ10
2に導管316を介して引き付けられる。主要処理チャンバ102のドーム状の
頂部602によって、主要処理チャンバ102の電源アプリケータ608の付近
にフィルタリングした補助プラズマを搬送することができる。主要処理チャンバ
102内に導入された補助供給源チャンバが供給するプラズマまたは他の処理ガ
ス(図示せず)から、主要処理チャンバ102において不図示の主要チャンバプ
ラズマを発生または維持するために、電源電力アプリケータ608が使用されて
もよい。電源電力アプリケータ608は、図4に示すような誘導アンテナか、ま
たはプラズマの発生が可能なマイクロ波、紫外線、電子ビームなどの他のタイプ
の電源電力アプリケータであってもよい。ワークピース610に対して荷電粒子
エネルギー束を制御するために、台614を介してワークピース610に容量性
バイアス612がかけられてもよい。このようにして、プラズマ密度、荷電粒子
エネルギーおよび種密度が個別に制御されてもよい。
Referring to FIG. 4, the auxiliary source chamber 300 of the present invention, shown in FIG. 2D, includes a main processing chamber 10 having an inductive coil antenna located above the dome top.
2 is attracted via conduit 316. The dome-shaped top 602 of the main processing chamber 102 can carry a filtered auxiliary plasma near the power applicator 608 of the main processing chamber 102. To generate or maintain a main chamber plasma (not shown) in the main processing chamber 102 from a plasma or other processing gas (not shown) supplied by an auxiliary source chamber introduced into the main processing chamber 102, An applicator 608 may be used. The power supply applicator 608 may be an inductive antenna as shown in FIG. 4 or another type of power supply applicator such as a microwave, ultraviolet, electron beam capable of generating a plasma. A capacitive bias 612 may be applied to the workpiece 610 via the platform 614 to control the charged particle energy flux to the workpiece 610. In this way, the plasma density, charged particle energy and seed density may be individually controlled.

【0076】 これらの実施形態では、プラズマリアクタに補助供給源チャンバと導管を付加
することによって、エッチャントガスの中性粒子の密度の制御と荷電粒子の密度
の制御とを分離する効果が得られる。補助供給源チャンバと導管は、十分な量の
エッチャントガスの中性粒子を主要処理チャンバ内に導入して、その中に所望の
密度を形成するために使用されてもよい。これは、補助供給源チャンバのパラメ
ータの制御群、すなわち、電力入力レベル、処理ガスの流量を、所望の量のエッ
チャントガスの中性粒子が生成され、その中性粒子を主要チャンバ内に供給でき
るレベルに設定することによって達成されてもよい。同時に、主要処理チャンバ
に関連する同じ制御パラメータが、その中の荷電粒子が所望の密度発生するよう
に設定されてもよい。したがって、基本的には、エッチャントガスの中性粒子の
密度は補助供給源チャンバと関連する前述のパラメータを制御することによって
決定され、荷電粒子の密度は主要処理チャンバと関連する同様のパラメータを制
御することによって決定される。
In these embodiments, the addition of an auxiliary source chamber and conduit to the plasma reactor has the effect of separating the control of the neutral particle density and the control of the charged particle density of the etchant gas. Auxiliary source chambers and conduits may be used to introduce a sufficient amount of neutral particles of the etchant gas into the main processing chamber to form a desired density therein. This is a control group of auxiliary source chamber parameters, i.e., power input level, process gas flow rate, desired amount of etchant gas neutral particles can be generated and supplied to the main chamber. It may be achieved by setting the level. At the same time, the same control parameters associated with the main processing chamber may be set so that the charged particles therein generate the desired density. Thus, basically, the density of the neutral particles of the etchant gas is determined by controlling the aforementioned parameters associated with the auxiliary source chamber, and the density of the charged particles controls similar parameters associated with the main processing chamber. Is determined by

【0077】 主要処理チャンバ内で点火されたプラズマは、他の処理ガスと共に主要チャン
バ内に導入された「生」エッチャントガスからか、または補助供給源チャンバか
ら主要チャンバに到達するエッチャントガス分子からのいずれかから、供給源チ
ャンバから供給されるもの以外にある量のエッチャントガスの中性粒子を発生さ
せてもよいことは言うまでもない。これらのさらなるエッチャントガスの中性粒
子は、供給源チャンバと関連する制御パラメータを設定するときに考慮されて、
エッチャントガスの中性粒子を組み合わせた量が、主要処理チャンバ内の全体的
な所望の密度とほぼ等しくなる。
The plasma ignited in the main process chamber may be from “raw” etchant gas introduced into the main chamber with other process gases, or from etchant gas molecules reaching the main chamber from an auxiliary source chamber. Either way, it goes without saying that a certain amount of neutral particles of the etchant gas other than those supplied from the source chamber may be generated. The neutrals of these additional etchant gases are taken into account when setting the control parameters associated with the source chamber,
The combined amount of neutral particles of the etchant gas will be approximately equal to the overall desired density in the main processing chamber.

【0078】 上述したリアクタと処理方法が与えられたとすると、中性粒子の濃混合気とイ
オンの濃混合気との間にあれば、主要処理チャンバ内に形成されたプラズマの組
成を変化させることもできる。
Given the reactor and processing method described above, if there is between a rich mixture of neutral particles and a rich mixture of ions, changing the composition of the plasma formed in the main processing chamber Can also.

【0079】 主要チャンバの制御パラメータを比較的低く設定することによって、主要処理
チャンバ内で中性粒子の濃プラズマが形成されてもよい。低レベルでは、主要チ
ャンバ内に導入される処理ガスのイオン化はほとんど起こらない。したがって、
プラズマ内の荷電粒子の密度は非常に低くなる。同様に解離もほとんど起きない
ことは言うまでもない。しかしながら、主要チャンバにこの解離によって予め供
給されたエッチャントガスの中性粒子は、ここで補助供給源チャンバから供給さ
れる。最終的な結果は、中性粒子が非常に豊富であるが、荷電粒子の密度は非常
に低いプラズマである。このような中性粒子の濃プラズマは、多くのエッチング
プロセスにおいて有利に使用される。例えば、極端に高い程度のエッチング選択
比が必要な等方性エッチングプロセズが、中性粒子の濃プラズマを用いて達成さ
れる。
By setting the control parameters of the main chamber relatively low, a concentrated plasma of neutral particles may be formed in the main processing chamber. At low levels, little ionization of the process gas introduced into the main chamber occurs. Therefore,
The density of charged particles in the plasma will be very low. It goes without saying that almost no dissociation occurs. However, the neutral particles of the etchant gas previously supplied to the main chamber by this dissociation are now supplied from the auxiliary source chamber. The end result is a plasma that is very rich in neutrals but has a very low density of charged particles. Such a concentrated plasma of neutral particles is advantageously used in many etching processes. For example, an isotropic etching process that requires an extremely high degree of etching selectivity is achieved using a neutral particle rich plasma.

【0080】 主要処理チャンバ内にイオンまたは荷電粒子の濃プラズマが、主要処理チャン
バ内にエッチャントガスをほとんどまたはまったく導入せずに形成される。この
代わりに、他の処理ガスが使用されてプラズマを形成する。これらの他のガスは
、荷電粒子と無反応性中性粒子を発生する。例えば、このような処理ガスにはア
ルゴンがある。主要処理チャンバパラメータの制御パラメータのレベルは、処理
ガスのイオン化に十分なものであり、プラズマに所望の荷電粒子密度を発生させ
る。この場合比較的少量であるエッチャントガスの中性粒子の所望の密度は、補
助供給源チャンバから主要チャンバに供給される。供給源チャンバと関連する制
御パラメータは、所望の低量のエッチャントガスの中性粒子が主要チャンバに確
実に供給されるように設定される。最終的な結果は、非常にイオンが豊富である
が、エッチャントガスの中性粒子の密度が非常に低いプラズマとなる。このよう
なイオン濃プラズマは、SiO2、SiNまたは不純物をドープしていないシリ コンなどの誘電体材料をワークピースからエッチングする工程で使用されると利
点となる。
A concentrated plasma of ions or charged particles is formed in the main processing chamber with little or no etchant gas introduced into the main processing chamber. Instead, other process gases are used to form the plasma. These other gases generate charged particles and non-reactive neutral particles. For example, such a processing gas includes argon. The level of the control parameters of the main processing chamber parameters is sufficient for the ionization of the processing gas, causing the plasma to generate the desired charged particle density. In this case, the desired density of neutral particles of the etchant gas, which is relatively small, is supplied from the auxiliary source chamber to the main chamber. The control parameters associated with the source chamber are set to ensure that the desired low amount of neutralizing etchant gas is supplied to the main chamber. The end result is a plasma that is very ion-rich, but has a very low neutral particle density of the etchant gas. Such an ion-enriched plasma is advantageous when used in a process for etching a dielectric material, such as SiO 2 , SiN or undoped silicon, from a workpiece.

【0081】 主要チャンバおよび供給源チャンバの制御パラメータを変え、さらに各チャン
バに上述したものから供給される処理ガスおよびエッチャントガスの量および種
類を変えることによって、特に、エッチャントガスの中性粒子の密度と荷電粒子
の密度とのあらゆる組み合わせを主要チャンバのプラズマ内で行うことができる
By changing the control parameters of the main chamber and the source chamber, and also by changing the amount and type of process and etchant gases supplied from each of the above to each chamber, in particular, the density of the neutral particles of the etchant gas Any combination of and the density of charged particles can be made in the plasma of the main chamber.

【0082】 また、補助供給源チャンバをプラズマリアクタに追加することによって、上述
したエッチャントガスの中性粒子の密度と荷電粒子の密度を個別する一般的な方
法よりもさらに処理上の長所が多数得られる。例えば、酸素や窒素を主要処理チ
ャンバ内に導入して、シリコンと反応する中性粒子を形成し、ワークピースの特
定表面上にエッチングに対して耐性な堆積物を発生させるシリコンエッチング中
に用いる前述したパッシベーションプロセスを、本発明を取り入れたプラズマリ
アクタと関連して補助供給源チャンバを用いることでさらに改善することができ
る。主要チャンバのプラズマにある荷電粒子の密度を最小に維持することが好ま
しいエッチングプロセスでは、例えば、電力入力レベルが非常に高ければ、これ
らのガスの一部がイオン化するにつれて逆効果を生じてしまう。酸素や窒素から
不利益となる荷電粒子が形成されないようにするために、これらのガスが代わり
に補助供給源チャンバ内に導入されてもよい。このようにして、酸素や窒素ガス
が供給源チャンバ内で分解して、中性粒子と荷電粒子を形成する。次いで、酸素
または窒素の中性粒子は、所望のエッチングに対して耐性な堆積物を形成するよ
うに反応するリアクタの主要処理チャンバ内に供給される。しかしながら、酸素
や窒素は、中性粒子供給源導管内を通る間再結合され、それらがエッチングプロ
セスに悪影響を及ぼす可能性のある主要処理チャンバには到達しない。このよう
に改善されたパッシベーション方法は、必要であれば、中性粒子供給源チャンバ
内にエッチャントガスの中性粒子を同時に発生させることも可能である。
Also, by adding an auxiliary source chamber to the plasma reactor, a number of processing advantages can be obtained over the general method of separating the neutral and charged particle densities of the etchant gas described above. Can be For example, oxygen or nitrogen may be introduced into the main processing chamber to form neutral particles that react with silicon to form etch resistant deposits on certain surfaces of the workpiece, such as those used during silicon etching. The described passivation process can be further improved by using an auxiliary source chamber in conjunction with a plasma reactor incorporating the present invention. In etching processes where it is desirable to keep the density of charged particles in the plasma of the main chamber to a minimum, for example, at very high power input levels, the opposite effect occurs as some of these gases ionize. These gases may instead be introduced into the auxiliary source chamber to prevent the formation of disadvantageous charged particles from oxygen and nitrogen. In this way, oxygen and nitrogen gases decompose in the source chamber to form neutral and charged particles. The neutral particles of oxygen or nitrogen are then fed into the main processing chamber of the reactor, which reacts to form a deposit that is resistant to the desired etching. However, oxygen and nitrogen are recombined while passing through the neutral source conduit and do not reach the main processing chamber where they can adversely affect the etching process. Such an improved passivation method can also simultaneously generate neutral particles of the etchant gas in the neutral particle source chamber, if necessary.

【0083】 上述したように改善されたパッシベーションプロセスの例は、これに限定され
るものではない。あらゆる処理ガスが補助供給源チャンバ内で分解され、主要チ
ャンバプラズマにさらに荷電粒子を追加せずに主要処理チャンバに供給可能であ
ることは、本願記載から当業者には明らかなことである。したがって、基本的に
、あらゆる処理ガスの中性粒子は、補助供給源チャンバを用いてこのガスと関連
する荷電粒子の密度からあらゆる処理ガスの中性粒子の密度を別々に制御できる
Examples of the improved passivation process as described above are not limited to this. It will be apparent to those skilled in the art from this description that any process gas may be decomposed in the auxiliary source chamber and supplied to the main process chamber without adding additional charged particles to the main chamber plasma. Thus, in essence, the neutrals of any process gas can be separately controlled from the density of the charged particles associated with the gas using the auxiliary source chamber.

【0084】 エッチャントガスおよび他の処理ガスが補助供給源チャンバ内に同時に導入さ
れ、共に分解した後にリアクタの主要チャンバ内に供給される前述の提案に関し
て、これが問題を生じる場合もあることに留意されたい。例えば、主要処理チャ
ンバ内に所望のエッチャントガスの中性粒子の密度を発生するために必要な制御
パラメータレベルが、他の処理ガスの中性粒子の所望の密度を発生させるわけで
はないということである。
It should be noted that this may cause problems with the above-mentioned proposals in which the etchant gas and other process gases are simultaneously introduced into the auxiliary source chamber and disassembled together before being fed into the main chamber of the reactor. I want to. For example, in that the control parameter levels required to generate the desired neutral particle density of the etchant gas in the main processing chamber do not generate the desired density of other processing gas neutral particles. is there.

【0085】 このような場合は、2以上の補助供給源チャンバがプラズマリアクタ内に組み
合わせられる。この構造では、図3に示す2つのチャンバ502、504などの
個々の補助供給源チャンバが、リアクタ500の主要処理チャンバ512のガス
分配装置510に別々に接続される。
In such a case, two or more auxiliary source chambers are combined in a plasma reactor. In this configuration, individual auxiliary source chambers, such as the two chambers 502, 504 shown in FIG. 3, are separately connected to the gas distribution device 510 of the main processing chamber 512 of the reactor 500.

【0086】 また、各チャンバは別々のガス供給源506、508に接続される。補助供給
源チャンバは、ユーザーが主要チャンバの中性粒子の密度を別々に制御したい1
つまたは複数のガスで供給される。したがって、上述の例では、エッチャントガ
スは、第1の補助供給源チャンバ502を介して供給され、酸素または窒素は第
2の供給源チャンバ504を介して供給される。2つの供給源チャンバ502、
504とそれぞれ関連する制御パラメータは、チャンバを流れるフィーチャのガ
スの所望の中性粒子の密度を発生させるレベルに設定される。
Further, each chamber is connected to a separate gas supply source 506, 508. The auxiliary source chamber allows the user to separately control the density of neutral particles in the main chamber1
It is supplied with one or more gases. Thus, in the above example, the etchant gas is supplied via the first auxiliary source chamber 502 and the oxygen or nitrogen is supplied via the second source chamber 504. Two source chambers 502,
Control parameters, each associated with 504, are set to a level that produces the desired neutral density of the gas in the feature flowing through the chamber.

【0087】 したがって、このように関心のガスが2種類あれば、2つの補助供給源チャン
バが用いられる。さらに、このように3種類のガスの中性粒子の密度を制御した
ければ、3つの補助供給源チャンバを用い、以下同様である。
Thus, with two such gases of interest, two auxiliary source chambers are used. Further, if it is desired to control the density of the neutral particles of the three gases in this manner, three auxiliary supply chambers are used, and so on.

【0088】 図5Aを参照すると、上述したように、導管フィルタ316は、荷電粒子のフ
ィルタリングが所望のレベルになるようにさまざまな寸法をもつものであってよ
い。また、本発明のフィルタ316の他の実施形態を用いることも可能である。
図5Bに示されているように、荷電粒子をフィルタリングするために、いくつか
の導管316を並列させて用いることも可能である。このように、導管フィルタ
316を他の導管316と組み合わせて、複数の導管316からなるフィルタ3
16'を形成してもよい。
Referring to FIG. 5A, as described above, the conduit filter 316 may be of various sizes to provide a desired level of charged particle filtering. Also, other embodiments of the filter 316 of the present invention can be used.
As shown in FIG. 5B, several conduits 316 can be used in parallel to filter charged particles. In this manner, the conduit filter 316 is combined with the other conduits 316 to form the filter 3 including the plurality of conduits 316.
16 'may be formed.

【0089】 図6は、誘導結合形の磁気強化形補助供給源チャンバ300と誘導結合形主要
処理チャンバ102に挿間させた複数の導管フィルタ316'を示す図である。 複数の導管316からなるフィルタ316'を用いて、補助供給源チャンバ30 0で発生した補助プラズマから荷電粒子をすべて除去してもよい。次いで、荷電
粒子種が主要チャンバに発生されるか、または図5に示されているように、導管
フィルタ316、複数導管フィルタ316'または他の再結合タイプのフィルタ を用いずに、主要チャンバ102に結合された第2の補助供給源チャンバによっ
て発生される。
FIG. 6 is a diagram illustrating a plurality of conduit filters 316 ′ interposed between an inductively coupled magnetically enhanced auxiliary source chamber 300 and an inductively coupled main processing chamber 102. A filter 316 ′ comprising a plurality of conduits 316 may be used to remove any charged particles from the auxiliary plasma generated in auxiliary source chamber 300. Charged particle species are then generated in the main chamber or, as shown in FIG. 5, without the use of conduit filter 316, multi-conduit filter 316 'or other recombination type filters. Generated by a second auxiliary source chamber coupled to

【0090】 また、本発明では、フィルタ316と316'の再結合タイプの他の実施形態 を用いて荷電粒子をフィルタリングすることも可能である。例えば、図7Aに示
された接地された中和グリッド316aを用いて、補助供給源プラズマから荷電
粒子種をフィルタリングした後に、主要処理チャンバ内に搬入してもよい。中和
グリッドは、補助プラズマの中性種を通過させながら荷電粒子種をフィルタリン
グできる開口702を有する。
The present invention can also filter charged particles using other embodiments of the recombination type of filters 316 and 316 ′. For example, the grounded neutralizing grid 316a shown in FIG. 7A may be used to filter charged particle species from the auxiliary source plasma before entering the main processing chamber. The neutralizing grid has an opening 702 that allows filtering of charged particle species while passing neutral species of the auxiliary plasma.

【0091】 図7Bを参照すると、図7Aの接地形の中和グリッドフィルタ316aの替わ
りとして、図7Bに示す電圧源710がグリッドフィルタ316bに印加されて
、正か負の荷電粒子種のいずれかを選択的に再結合させることもできる。例えば
、再結合グリッド316bは、正電荷種が補助プラズマから除去されるかまたは
電子で中和されるように、負に帯電させることもできる。このように、補助プラ
ズマの荷電粒子は、その電荷に応じてフィルタリングした後に、主要処理チャン
バ何に搬入できる。
Referring to FIG. 7B, as an alternative to the grounded neutralizing grid filter 316a of FIG. 7A, a voltage source 710 shown in FIG. 7B is applied to the grid filter 316b to provide either a positive or negative charged particle species. Can be selectively recombined. For example, the recombination grid 316b can be negatively charged such that positively charged species are removed from the auxiliary plasma or neutralized with electrons. In this way, the charged particles of the auxiliary plasma can be carried into any of the main processing chambers after being filtered according to their charge.

【0092】 また、種をフィルタリングするために誘電体タイプの材料からなるグリッドタ
イプのフィルタを形成することも可能である。このようなフィルタは、表面の再
結合を介して種をフィルタリングしてもよい。図7Aおよび7Bに示された実施
形態以外にも、このような効果を高めるようにフィルタ外形に手を入れてもよい
。このような場合、グリッドタイプのフィルタは、設定電位なしに設けられても
よい。処理チャンバ内で種の濃度を変化させる以外にも、このタイプのフィルタ
は、堆積要素が補助供給源チャンバに流入しないようにするために用いられても
よい。
It is also possible to form a grid type filter made of a dielectric type material for filtering seeds. Such filters may filter species via surface recombination. In addition to the embodiment shown in FIGS. 7A and 7B, the outer shape of the filter may be modified to enhance such an effect. In such a case, the grid type filter may be provided without the set potential. In addition to varying the species concentration within the processing chamber, this type of filter may be used to keep deposition elements from flowing into the auxiliary source chamber.

【0093】 別の可能なフィルタ装置は、電界フィルタである。このようなフィルタは、電
荷または質量により荷電粒子をフィルタリングするために用いられてもよい。例
えば、補助供給源チャンバと主要チャンバ間の外部かまたは内部のいずれかに板
かまたは棒を挿間させて静電場を作ってもよい。このようにして、補助チャンバ
に発生した荷電粒子種が主要処理チャンバに入らないようにすることができる。
また、RF電界フィルタを用いて、電荷および質量により家電粒子種をフィルタ
リングしてもよい。静電フィルタと同様に、補助供給源チャンバと主要チャンバ
間の外部かまたは内部のいずれかに板かまたは棒を挿間させてRF電界フィルタ
を形成してもよい。このようにして、補助プラズマの荷電粒子種は、電荷の点か
らかまたは電荷および質量の点からフィルタリングされて、主要処理チャンバに
搬入されてもよい。
Another possible filter device is an electric field filter. Such filters may be used to filter charged particles by charge or mass. For example, a plate or rod may be inserted either externally or internally between the auxiliary source chamber and the main chamber to create an electrostatic field. In this way, charged particle species generated in the auxiliary chamber can be prevented from entering the main processing chamber.
In addition, an RF electric field filter may be used to filter home appliance particle species by charge and mass. As with the electrostatic filter, a plate or rod may be inserted either externally or internally between the auxiliary source chamber and the main chamber to form an RF electric field filter. In this way, the charged particle species of the auxiliary plasma may be filtered into charge or into charge and mass and delivered to the main processing chamber.

【0094】 図7Cを参照すると、クオドラポールフィルタ装置316cは、補助プラズマ
から荷電粒子をフィルタリングして主要処理チャンバに搬入させるために用いる
電界フィルタの例である。質量分析計で使用されるタイプと同様のクオドラオー
ルフィルタ316cは、補助供給源チャンバと主要処理チャンバに挿間されても
よい。クオドラポールフィルタ316cは、荷電粒子種をその質量によりフィル
タリングするために用いてもよい。
Referring to FIG. 7C, a quadrapole filter device 316c is an example of an electric field filter used to filter charged particles from the auxiliary plasma and bring them into the main processing chamber. A quadraol filter 316c, similar to the type used in mass spectrometers, may be interposed between the auxiliary source chamber and the main processing chamber. Quadrapole filter 316c may be used to filter charged particle species by their mass.

【0095】 図7Cの電界フィルタは、静電場、RF電場またはそれらの組み合わせを発生
するものであってよい。クオドラポールフィルタ326cを用いて、ロッド75
0a、750b、750cおよび750dに電位を印加して不図示の静電場およ
びRF電場を発生させることによって、荷電粒子種が分離される。適切なDCバ
イアスや電流位相が選択されて、ロッド750a、750b、750c、750
d内の電場の強度および位相によって、質量および電荷に応じて通過する選択さ
れた荷電粒子をさける。
The electric field filter of FIG. 7C may generate an electrostatic field, an RF electric field, or a combination thereof. Using the quadrapole filter 326c, the rod 75
By applying a potential to Oa, 750b, 750c, and 750d to generate an electrostatic field and an RF electric field (not shown), the charged particle species are separated. Appropriate DC bias and current phase are selected and rods 750a, 750b, 750c, 750
The strength and phase of the electric field in d avoids selected charged particles passing according to mass and charge.

【0096】 通常、4つのロッド750a、750b、750c、750dが用いられるが
、導体の板や他の形状、または他の種類のものを用いてもよい。さらに、図7C
のクオドラポールは4つの棒を有するが、本発明の電界フィルタは4つのポール
に限定されるものではない。本発明の電界フィルタを形成するために、使用する
棒の数はいくつでもよい。
Usually, four rods 750a, 750b, 750c, 750d are used, but conductor plates or other shapes or other types may be used. Further, FIG.
Has four bars, but the electric field filter of the present invention is not limited to four poles. Any number of rods may be used to form the electric field filter of the present invention.

【0097】 図7Cの電界フィルタ316cを用いて、選択された荷電粒子種かまたはすべ
てをフィルタリングしてもよい。すなわち、フィルタ316cを用いて、ある荷
電粒子種の密度を別の荷電粒子種に対して調整してもよい。
[0097] The selected charged particle species or all may be filtered using the electric field filter 316c of FIG. 7C. That is, the density of one charged particle species may be adjusted with respect to another charged particle species using the filter 316c.

【0098】 1つの荷電粒子種のフィルタリングは、ワークピースの処理を改善するために
用いられてもよい。例えば、金属のエッチングプロセスにおいて、Cl+とCl2 + の比率が主要処理チャンバでの金属の残留物を減少させる場合があると考えら れている。電場の大きさは、一部またはすべてのCl2 +種が補助プラズマからフ
ィルタリングされ、すべてのCl+種が主要チャンバを通過できるように選択さ れる。荷電粒子種のフィルタリングを選択することによって、主要処理プラズマ
内の種密度をさらに良好に制御できるようになる。
[0098] Filtering of one charged particle species can be used to improve workpiece processing.
May be used. For example, in a metal etching process, Cl+And ClTwo + It is believed that the ratio may reduce metal residues in the main processing chamber. The magnitude of the electric field is some or all of ClTwo +Seeds from auxiliary plasma
Filtered and all Cl+The species are selected so that they can pass through the main chamber. By selecting the filtering of charged particle species, the main processing plasma
The seed density in the inside can be controlled better.

【0099】 図7Dを参照すると、本発明は、補助プラズマをフィルタリングするための磁
界フィルタ316dを用いてもよい。磁界フィルタ316dは、補助供給源チャ
ンバと主要処理チャンバに挿間されて、荷電粒子種を偏向させるように働かせて
もよい。永久磁石または電磁石770が、主要処理チャンバの方向に流れるとき
に、補助プラズマの経路に対して直交する磁力線772をもつ磁場316dを発
生させる。磁界フィルタは、以下の式により荷電粒子に作用する磁力Fを発生す
る。
Referring to FIG. 7D, the present invention may use a magnetic field filter 316d for filtering the auxiliary plasma. A magnetic field filter 316d may be interposed between the auxiliary source chamber and the main processing chamber to serve to deflect the charged particle species. As the permanent or electromagnet 770 flows in the direction of the main processing chamber, it generates a magnetic field 316d having lines of magnetic force 772 orthogonal to the path of the auxiliary plasma. The magnetic field filter generates a magnetic force F acting on the charged particles according to the following equation.

【0100】[0100]

【式3】 (Equation 3)

【0101】 この磁力を用いて、主要チャンバの方向に進み補助チャンバの方向に戻るすべて
の荷電粒子を偏向させることによって、主要処理チャンバに荷電粒子が入らない
ようにすることができる。
This magnetic force can be used to deflect any charged particles that proceed toward the main chamber and return toward the auxiliary chamber, thereby preventing charged particles from entering the main processing chamber.

【0102】 また、磁気フィルタを用いて電荷対質量比により荷電粒子種を選択的にフィル
タリングして、フィーチャの種を選択することもできる。より大きな荷電粒子種
はプラズマの流れの中での勢いがより大きいため、磁場の強度を適切に処理する
ことによって、荷電粒子種が電荷対質量比により選択的にフィルタリング可能と
なる。例えば、より少量の電子を偏向するために必要なものよりも、補助供給源
チャンバに戻る大きな分子荷電種を偏向させるための磁場の大きさは小さくてよ
い。磁場の大きさは、分子荷電種などのより大きな種はそらすが、電子などのよ
り小さな種は種供給源チャンバに通すように選択される。荷電分子種と電子は説
明を目的として記載したが、磁気フィルタを用いて荷電分子種を選択的にフィル
タリングすることも可能である。このようにして、磁気フィルタ316dが荷電
対質量比により選択的に荷電粒子をフィルタリングすることによって、1つの荷
電種の密度を別またはいくつかのほかの荷電種に対して調整されてもよい。
Also, the type of feature can be selected by selectively filtering the type of charged particles by the charge-to-mass ratio using a magnetic filter. Appropriate treatment of the magnetic field strength allows the charged particle species to be selectively filtered by charge-to-mass ratio because larger charged particle species have greater momentum in the plasma stream. For example, the magnitude of the magnetic field to deflect large molecular charged species returning to the auxiliary source chamber may be smaller than that required to deflect less electrons. The magnitude of the magnetic field is selected to divert larger species, such as molecularly charged species, but to pass smaller species, such as electrons, through the species source chamber. Although charged molecular species and electrons have been described for purposes of explanation, it is also possible to selectively filter charged molecular species using a magnetic filter. In this manner, the density of one charged species may be adjusted for another or several other charged species by magnetic filter 316d selectively filtering charged particles by charge-to-mass ratio.

【0103】 図7Dには単一の静電場が描かれているが、本発明のフィルタの他の実施形態
は、いくつかの磁場を用いてもよいし、時変磁場を利用してもよい。
Although a single electrostatic field is depicted in FIG. 7D, other embodiments of the filter of the present invention may use several magnetic fields or may utilize a time-varying magnetic field. .

【0104】 上述したさまざまなフィルタのタイプを組み合わせて、主要処理チャンバ内に
入る前に補助プラズマをさらにフィルタリングしてもよい。フィルタのタイプは
どれを組み合わせて主要プラズマ内で所望の種密度を発生させてもよい。例えば
、図7Cのクオドラポールを、単一の補助供給源チャンバにおいて図5Aまたは
図5Bの導管と直列に結合させてもよい。また、クオドラポールを用いて、ある
選択した荷電種を導管フィルタに通してもよい。次いで、導管フィルタは選択し
た荷電種を再結合することによって、選択した中性種を発生させる。このように
して、本発明を用いて、中性ラジカルなどの選択した中性種を主要チャンバに供
給してその種の密度を調整してもよい。荷電粒子種は別の補助チャンバにより主
要チャンバに搬入されてもよいし、主要チャンバの電源を用いて主要処理チャン
バに発生させてもよい。
The various filter types described above may be combined to further filter the auxiliary plasma before entering the main processing chamber. Any combination of filter types may produce the desired seed density in the main plasma. For example, the quadrapole of FIG. 7C may be coupled in series with the conduit of FIG. 5A or 5B in a single auxiliary source chamber. Also, a quadrapole may be used to pass some selected charged species through a conduit filter. The conduit filter then generates the selected neutral species by recombining the selected charged species. In this manner, the present invention may be used to supply a selected neutral species, such as a neutral radical, to the main chamber to adjust the density of that species. The charged particle species may be carried into the main chamber by another auxiliary chamber, or may be generated in the main processing chamber using the power supply of the main chamber.

【0105】 前述の説明は例示的目的のものであって、再結合タイプとのあらゆる電界タイ
プ、磁界または静電場タイプや静電場タイプや、あらゆる磁場タイプとのあらゆ
る静電場タイプ、同じタイプの複数フィルタなどのフィルタやフィルタのタイプ
の他の組み合わせを用いて、主要処理チャンバ内の種密度を制御してもよい。
The foregoing description is by way of example only, any electric, magnetic or electrostatic or electrostatic field type with a recombination type, any electrostatic field type with any magnetic field type, multiple of the same type Other combinations of filters and filter types, such as filters, may be used to control the seed density in the main processing chamber.

【0106】 図8を参照すると、上述したように、本発明は補助チャンバ300での中性種
の発生に限定されるものではない。補助チャンバ300は、中性種および荷電種
を共に発生するものでもよい。もしくは、主要チャンバを用いて、主に荷電種を
発生させてもよい。補助チャンバ300で発生した種は、フィルタリングされて
主要処理チャンバ102に供給されて、主要処理チャンバの種密度を制御するも
のであってもよい。さらに、図3に示すような複数の補助供給源チャンバまたは
主要処理チャンバ自体が処理チャンバ内の粒子種に追加されてもよい。
Referring to FIG. 8, as described above, the present invention is not limited to the generation of neutral species in the auxiliary chamber 300. The auxiliary chamber 300 may generate both neutral species and charged species. Alternatively, the main chamber may be used to generate mainly charged species. The species generated in the auxiliary chamber 300 may be filtered and provided to the main processing chamber 102 to control the seed density of the main processing chamber. Further, multiple auxiliary source chambers or the main processing chamber itself as shown in FIG. 3 may be added to the particle species in the processing chamber.

【0107】 上述したように、参照番号816の位置に1つかまたは複数のフィルタを挿間
することによって、処理チャンバに中性粒子を供給する以外に、本発明を用いて
荷電粒子を選択的にフィルタリングしてもよい。ある応用では、補助チャンバ3
00またはいくつかの補助チャンバのうちの1つが、処理チャンバ102に主要
な荷電粒子を供給するために必要な場合がある。このような場合、電源、温度お
よび圧力などの補助チャンバ300の入力パラメータは、補助供給源チャンバが
主要な荷電粒子種を発生するように選択される。
As described above, by interposing one or more filters at reference numeral 816, in addition to supplying neutral particles to the processing chamber, the present invention can be used to selectively charge charged particles. It may be filtered. In some applications, the auxiliary chamber 3
00 or one of several auxiliary chambers may be required to supply primary charged particles to the processing chamber 102. In such a case, the input parameters of the auxiliary chamber 300, such as power supply, temperature and pressure, are selected such that the auxiliary source chamber generates the main charged particle species.

【0108】 次いで、主要な荷電粒子種からなる補助プラズマは、上述したように、参照番
号186の位置で1つかまたは複数のフィルタを挿間させることによってフィル
タリングされてもよく、もしくはまったくフィルタリングされなくてもよく、こ
れによって主要処理チャンバ102に所望の荷電粒子種の密度を供給する。これ
の替わりとして、またはフィルタリングに追加して、図3および図9に描かれて
いるように、主要処理チャンバ102もしくは別の補助供給源チャンバを用いて
、所望の中性種を発生または供給することもできる。
The auxiliary plasma, consisting of the main charged particle species, may then be filtered, as described above, by inserting one or more filters at reference numeral 186, or not at all. This may provide the main processing chamber 102 with a desired density of charged particle species. Alternatively, or in addition to filtering, the primary processing chamber 102 or another auxiliary source chamber is used to generate or supply the desired neutral species, as depicted in FIGS. You can also.

【0109】 補助供給源チャンバ300は、主要処理チャンバに荷電粒子種を供給するよう
に選択される場合、図8に示すように、磁気強化形の誘導結合形リアクタとして
具体化されてもよい。MEICPの利点は、イオン化率を100%近くにできる
ことである。このような荷電粒子が豊富なプラズマは、荷電粒子種源として主要
処理チャンバに直接供給可能である。
If the auxiliary source chamber 300 is selected to supply charged particle species to the main processing chamber, it may be embodied as a magnetically enhanced inductively coupled reactor, as shown in FIG. An advantage of MEICP is that the ionization rate can be close to 100%. Such charged particle-rich plasma can be supplied directly to the main processing chamber as a charged particle species source.

【0110】 もしくは、このような補助供給源チャンバにより発生させた補助プラズマを選
択的にフィルタリングした後に主要処理チャンバ102に搬送することによって
、主に1種の荷電粒子からなるようにしてもよい。別の選択肢としては、主に荷
電粒子からなる補助プラズマを、上述した複数フィルタを用いてフィルタリング
することによって、選択された荷電種が再結合して主に1種の中性種を発生させ
て、主要処理チャンバ102に搬送されてもよい。
Alternatively, the auxiliary plasma generated by the auxiliary supply chamber may be selectively filtered and then transferred to the main processing chamber 102 so that the auxiliary plasma is mainly composed of one kind of charged particles. Another option is to filter the auxiliary plasma, which is primarily composed of charged particles, using the multiple filters described above, so that the selected charged species recombine to generate primarily one neutral species. , To the main processing chamber 102.

【0111】 MECIPの利点はいくつかのプロセスで利益となるが、補助供給源チャンバ
の実施形態を用いて、主要処理チャンバに供給され、フィルタリングされ、また
はフィルタリングされない荷電粒子種の密度を変化させることによって、主要処
理チャンバ内の種密度を別々に制御してもよい。本発明は、本発明は、すべての
タイプのプラズマリアクタまたはプラズマアシストリアクタに適用されるもので
ある。
While the benefits of MECIP can be beneficial in some processes, using an auxiliary source chamber embodiment to vary the density of charged or unfiltered charged particle species fed into the main processing chamber. May separately control the seed density in the main processing chamber. The invention applies to all types of plasma reactors or plasma-assisted reactors.

【0112】 図8は、主要チャンバ102に処理ガス入口ライン314'を示している。処 理ガス入口ライン314'は、処理ガスを主要チャンバ102に搬送するもので あってよい。処理ガスは、誘導アンテナ608により点火されて、主要チャンバ
にプラズマを形成してもよい。補助チャンバ300で発生させたプラズマは、主
要チャンバ102内に導入されて点火された処理ガスと組み合わせて、主要チャ
ンバ102に処理プラズマを形成する。
FIG. 8 shows a process gas inlet line 314 ′ in the main chamber 102. Process gas inlet line 314 ′ may carry process gas to main chamber 102. The process gas may be ignited by the inductive antenna 608 to form a plasma in the main chamber. The plasma generated in the auxiliary chamber 300 is combined with the ignited processing gas introduced into the main chamber 102 to form a processing plasma in the main chamber 102.

【0113】 図8の実施形態は、ドーム状の天井602と、誘導アンテナ608と、台61
4を介してワークピース610に供給される静電容量バイアス612とを有する
ように示されているが、本発明はすべてのタイプのプラズマリアクタまたはプラ
ズマアシストリアクタに適用されるものである。さらに、本発明の他の実施形態
と共に、図8の実施形態は、種密度を制御するために、主要処理チャンバ102
に電源を供給するものであっても、または供給しないものであってもよい。同様
に、本発明の実施形態は、処理チャンバ102内で種密度の制御を行うためにフ
ィルタを用いるものであっても、または用いないものであってもよい。さらに、
いくつかの補助チャンバと処理ガス源が、種密度とワークピース処理を制御する
ために用いられていもよい。したがって、処理ガスは、補助供給源チャンバ30
0と主要チャンバ102の両方か、主要チャンバ102のみか、補助供給源チャ
ンバ300のみか、もしくは複数補助供給源チャンバのみに供給されるものであ
ってもよい。複数補助チャンバのそれぞれは、所望の主要処理チャンバプラズマ
を発生するように、異なるタイプのフィルタを用いるものであっても、またはま
ったく用いなくてもよい。
The embodiment of FIG. 8 shows a dome-shaped ceiling 602, an induction antenna 608, and a table 61.
Although shown as having a capacitive bias 612 applied to the workpiece 610 via 4, the invention applies to all types of plasma reactors or plasma assisted reactors. Further, in conjunction with other embodiments of the present invention, the embodiment of FIG.
May or may not supply power. Similarly, embodiments of the present invention may or may not employ a filter to provide seed density control within the processing chamber 102. further,
Several auxiliary chambers and processing gas sources may be used to control seed density and workpiece processing. Therefore, the processing gas is supplied to the auxiliary source chamber 30.
It may be supplied to both zero and main chambers 102, only main chamber 102, only auxiliary source chamber 300, or only multiple auxiliary source chambers. Each of the multiple auxiliary chambers may or may not use a different type of filter to generate the desired main processing chamber plasma.

【0114】 図9を参照すると、本発明は、主要処理チャンバ102内で種密度を制御する
ために、2以上の補助チャンバを用いてもよい。図9は、2つの補助供給源チャ
ンバ502、504を示す。各補助供給源チャンバ502、504は、補助供給
源チャンバ502、504で発生させた2つのプラズマを組み合わせることによ
って主要処理チャンバ102内で所望の種密度を発生させるように、異なる種を
発生させてもよい。
Referring to FIG. 9, the present invention may use two or more auxiliary chambers to control seed density within main processing chamber 102. FIG. 9 shows two auxiliary source chambers 502,504. Each auxiliary source chamber 502, 504 generates a different species so as to generate a desired seed density in the main processing chamber 102 by combining the two plasmas generated in the auxiliary source chambers 502, 504. Is also good.

【0115】 本願明細書で記載したほかの実施形態と同様に、図9の実施形態でも、主要処
理チャンバ102は、電源を有するものであっても、または有さないものであっ
てもよい。図9の主要処理チャンバ102は、主要処理チャンバ102の周りに
ハイチされた誘導コイルアンテナ608と708を有する。電源を主要処理チャ
ンバ102に供給することによって、種密度の制御はさらに良好になる場合があ
る。電源は、誘導アンテナ608、708であってもよく、または電源の他のタ
イプまたは構造のものであってもよい。
As with the other embodiments described herein, in the embodiment of FIG. 9, the main processing chamber 102 may or may not have a power source. The main processing chamber 102 of FIG. 9 has inductive coil antennas 608 and 708 positioned around the main processing chamber 102. By providing power to the main processing chamber 102, the control of seed density may be better. The power source may be an inductive antenna 608, 708, or may be of another type or structure of the power source.

【0116】 図9の実施形態は、1以上の荷電粒子フィルタを用いるものであってもよい。
フィルタは、参照番号916または917の位置で補助供給源チャンバ502ま
たは504と主要チャンバ102に挿間されてもよい。少なくとも1つのフィル
タを補助供給源チャンバ502、504のいずれかに設けることによって、種密
度の制御がさらに良好になる場合がある。
The embodiment of FIG. 9 may use one or more charged particle filters.
A filter may be interposed between the auxiliary source chamber 502 or 504 and the main chamber 102 at reference numeral 916 or 917. Providing at least one filter in either of the auxiliary source chambers 502, 504 may provide better control of seed density.

【0117】 図1、3、4、6、8から10の実施形態は、処理プラズマの密度を調整せず
に、荷電粒子種と中性粒子種の密度を制御できる非制限的手段を提供する。処理
室に入る補助供給源プラズマの種密度を制御することによってか、いくつかの補
助供給源チャンバのプラズマの種密度を制御することによってか、もしくは主要
チャンバで発生したプラズマを制御すると同時に処理チャンバに入る補助供給源
プラズマの種密度を制御することによって、本発明は種密度を別々に制御する。
さらに、プラズマの全密度が維持されることもあり、そうでなければ単一のチャ
ンバからなる装置で調整される。さらに、イオンエネルギーを個別に制御するた
めにワークピースにバイアス電力が印加されてもよく、そうでなければ、主要処
理チャンバ内で種密度を調整することによって影響される。すなわち、本発明に
よって、種密度の制御とプラズマ密度とイオンエネルギーの制御を切り離して別
々に行うことができる。
The embodiments of FIGS. 1, 3, 4, 6, 8 to 10 provide a non-limiting means of controlling the density of charged and neutral particle species without adjusting the density of the processing plasma. . By controlling the seed density of the auxiliary source plasma entering the processing chamber, by controlling the seed density of the plasma in some auxiliary source chambers, or simultaneously with controlling the plasma generated in the main chamber By controlling the seed density of the incoming auxiliary source plasma, the present invention separately controls the seed density.
In addition, the full density of the plasma may be maintained or otherwise conditioned in a single chamber apparatus. Further, bias power may be applied to the workpiece to individually control the ion energy, or otherwise affected by adjusting the seed density within the main processing chamber. That is, according to the present invention, the control of the seed density and the control of the plasma density and the ion energy can be separately performed.

【0118】 上述したように、本発明により、プラズマ内での種密度を個別に制御すること
によって、例えば、エッチング速度、選択比、エッチングフィーチャプロファイ
ル、エッチング速度マイクロローディングおよびエッチング残留物の除去などの
処理特性をさらに良好に制御できるようになる。上述したエッチング金属残留物
の例において、Cl+とCl2 +の比率は、主要処理チャンバ102での金属のエ
ッチング残留物の濃度に影響を及ぼす場合がある。したがって、Cl2 +に対し てCl+の量を選択的に減少させることによって、金属残留物の濃度は主要処理 チャンバで減少することがある。金属のエッチング残留物の濃度を低下させるこ
とによって、金属の残留物は、ワークピース表面やチャンバ表面上に堆積するこ
とによって処理用部品やリアクタの部品を劣化させなくなる。
As mentioned above, according to the present invention, by individually controlling the seed density in the plasma, for example, etching rate, selectivity, etching feature profile, etching rate microloading and removal of etching residues, etc. Processing characteristics can be better controlled. In the example of an etch metal residue described above, the ratio of Cl + to Cl 2 + may affect the concentration of the metal etch residue in the main processing chamber 102. Thus, by selectively reducing the amount of Cl + relative to Cl 2 + , the concentration of metal residues may be reduced in the main processing chamber. By reducing the concentration of metal etch residues, metal residues do not degrade processing and reactor components by depositing on workpiece surfaces and chamber surfaces.

【0119】 また、本発明は、他のさまざまな方法により金属のエッチング残留物を除去す
るために用いられてもよい。補助供給源チャンバは、リガンドを発生して処理チ
ャンバにエッチングされた金属イオンを取り囲むことによって、主要処理チャン
バにいおいてこのようなイオンを効果的に除去または削除してもよい。例えば、
C=0などのリガンドが主要処理チャンバに導入されて、Cu+などの金属の残 留物を囲むことによって、主要処理チャンバのCu+を効果的に除去する。他の リガンドも使用可能であり、この方法で他のタイプの金属イオン残留物を除去し
てもよいことは言うまでもない。
The present invention may also be used to remove metal etch residues by a variety of other methods. An auxiliary source chamber may effectively remove or eliminate such ions in the main processing chamber by generating ligands and surrounding metal ions etched into the processing chamber. For example,
It is introduced into the ligand main processing chamber, such as C = 0, by enclosing the remaining Tomebutsu metals, such as Cu +, to effectively remove the Cu + main processing chamber. Of course, other ligands can be used and other types of metal ion residues may be removed in this manner.

【0120】 また、リガンドを発生するためにプラズマを発生させる必要がないことに留意
すべきである。リガンドは、当業者に周知のあらゆる従来の方法で生成され、主
要処理チャンバに供給されてよい。したがって、選択された荷電粒子種を主要処
理チャンバに供給するために補助供給源チャンバを用い、主要処理チャンバへリ
ガンドを入れるために、別の装置や熱解離などの方法を用いることもできる。
It should also be noted that it is not necessary to generate a plasma to generate the ligand. The ligand may be generated in any conventional manner known to those skilled in the art and supplied to the main processing chamber. Thus, an auxiliary source chamber may be used to supply the selected charged particle species to the main processing chamber, and other devices or methods such as thermal dissociation may be used to load the ligand into the main processing chamber.

【0121】 処理特性を改善するために本発明を用いる可能な方法のうちの1つを説明する
さらなる別の例は、SiO2のエッチング中に処理チャンバへポリマーを導入す ることである。ポリマーは、前述のエッチング速度、選択比およびエッチングフ
ィーチャプロファイル特性を改善するために、パッシベーションそうを提供する
ために使用することができる。
Yet another example illustrating one of the possible methods of using the present invention to improve processing characteristics is to introduce a polymer into the processing chamber during SiO 2 etching. Polymers can be used to provide a passivation layer to improve the aforementioned etch rates, selectivity and etch feature profile characteristics.

【0122】 通常、C28などのポリマーガスが主要チャンバ内に導入されて、他の処理ガ
スと共に解離され、主要処理チャンバないのほかの中性種および荷電種と共に、
CF2の所望のパッシベーションポリマーを形成する。これによって、F、e、 F+などの他の種は、主要処理チャンバないの荷電粒子と中性ラジカルの密度に 加わる。したがって、パッシベーション種を付加する以外にも、C28の解離に
よって、処理プラズマに対して反応性のあるほかの種が付加される。
Typically, a polymer gas, such as C 2 F 8 , is introduced into the main chamber and dissociated with other process gases, along with other neutral and charged species in the main process chamber,
To form the desired passivation polymer CF 2. This adds other species, such as F, e, F + , to the charged particle and neutral radical densities in the main processing chamber. Thus, in addition to adding passivation species, dissociation of C 2 F 8 adds other species that are reactive to the processing plasma.

【0123】 これは、本発明を用いると防ぐことが可能である場合がある。本発明により、
上述したように、主要処理プラズマは、選択された種の密度かまたはフィルタリ
ングされた種の密度を有する補助プラズマを発生することによって形成され、電
源を主要処理チャンバに印加することなく、主要供給源チャンバに導入されても
よい。所望のポリマーガス種は、主要処理チャンバに別々に導入されることによ
って、フィルタリングされた補助チャンバプラズマかまたはフィルタリングされ
ていないものと主要処理チャンバで結合させてもよい。このアプローチを用いて
、CF2の密度を、主要処理チャンバの電源により発生させた中性および荷電種 に付加せずに高くしてもよい。このようにして、処理プラズマ種密度が別々に制
御されることによって、処理特性を高めてもよい。
This can sometimes be prevented by using the present invention. According to the present invention,
As described above, the primary processing plasma is formed by generating an auxiliary plasma having a selected or filtered species density, and without applying power to the primary processing chamber, the primary source plasma is generated. It may be introduced into the chamber. The desired polymer gas species may be separately introduced into the main processing chamber to combine with the filtered auxiliary chamber plasma or the unfiltered one in the main processing chamber. Using this approach, the density of CF 2 may be increased without adding to the neutral and charged species generated by the main processing chamber power supply. In this manner, the processing characteristics may be enhanced by separately controlling the processing plasma seed densities.

【0124】 CF2は、さまざまな方法で主要処理チャンバに供給されてもよい。上述した ように、例えば、実質的にすべてがイオン化されたプラズマを発生させ、荷電粒
子種によりフィルタリングし、再結合することによって別の補助チャンバによっ
て供給されてもよい。もしくは、熱解離などの他の従来の技術を用いて供給され
てもよい。
The CF 2 may be supplied to the main processing chamber in various ways. As mentioned above, substantially all may be supplied by another auxiliary chamber by generating, for example, an ionized plasma, filtering by charged particle species and recombination. Alternatively, it may be supplied using other conventional techniques such as thermal dissociation.

【0125】 熱解離技術は、主要処理チャンバないにあらゆる所望の中性種を発生するため
に用いられてもよい。例えば、気相成長用のチャンバで用いられるような熱解離
が中性種を供給するように利用されてもよい。このようにして、本発明はプラズ
マアシストの化学気相成長用チャンバでの使用に適している。
[0125] Thermal dissociation techniques may be used to generate any desired neutral species without a main processing chamber. For example, thermal dissociation, such as used in a chamber for vapor phase growth, may be utilized to provide neutral species. Thus, the present invention is suitable for use in a plasma assisted chemical vapor deposition chamber.

【0126】 また、本発明は、中性粒子種または荷電粒子種の実質的に純粋な供給源を発生
するために使用されてもよい。例えば、補助チャンバの磁気強化形の誘導結合形
の実施形態は、実質的にすべてがイオン化されたプラズマを発生させるために用
いられてもよい。次いで、上述したように、イオンまたは荷電粒子がフィルタリ
ングされて、実質的に一種の荷電粒子を含むイオン源を発生するために用いられ
てもよい。このイオン源は、処理チャンバに搬送されてもよい。
The present invention may also be used to generate a substantially pure source of neutral or charged particle species. For example, a magnetically enhanced inductively coupled embodiment of the auxiliary chamber may be used to generate substantially all of the ionized plasma. The ions or charged particles may then be filtered, as described above, and used to generate an ion source that includes substantially one type of charged particle. The ion source may be transported to a processing chamber.

【0127】 あるいは、このように実質的に一種の荷電粒子が実質的に純粋な逆の極性を有
する荷電粒子種の別の異なる供給源と組み合わせて、実質的に純粋な中性種ガス
を形成してもよい。もしくは、実質的にすべてがイオン化された粒子が、上述し
たようにフィルタリングされることによって、一種のみの正の荷電粒子が残り、
これがフィルタリングされて再結合して、実質的に純粋な中性種ガスを形成して
もよい。この替わりとして、実質的にすべてがイオン化されたプラズマをフィル
タリングすることによって、一種のみの正の荷電粒子と異種の電子が残るように
してもよい。次いで、これらの2つの種をフィルタリングすることによって、2
つの種を再結合させて、実質的に純粋な中性種ガスを形成してもよい。
Alternatively, the substantially one kind of charged particles may be combined with another different source of a substantially pure charged particle species having the opposite polarity to form a substantially pure neutral species gas. May be. Alternatively, substantially all of the ionized particles are filtered as described above, leaving only one type of positively charged particles,
This may be filtered and recombined to form a substantially pure neutral species gas. Alternatively, substantially all of the ionized plasma may be filtered so that only one type of positively charged particle and a different type of electron remain. Then, by filtering these two species,
The two species may recombine to form a substantially pure neutral species gas.

【0128】 このように、本発明は、種密度を制御するための方法を数多く提供するもので
ある。さらに、本発明は、プラズマアシストタイプおよび他のタイプのワークピ
ース処理を改善するものである。
Thus, the present invention provides a number of methods for controlling seed density. Further, the present invention improves plasma assisted and other types of workpiece processing.

【0129】 図10を参照すると、上述したように、本発明の主要処理チャンバは、特定の
構造のいずれに対しても限定されるものではない。図10は、種密度の制御を別
々に行うさらなる別の非制限的例を示すものである。主要処理チャンバ102は
、平坦な頂部602'と、その上にある誘導アンテナ608を有する。主要処理 チャンバ102の実施形態にあるように、誘導アンテナまたは、この替わりとし
て、図10に不図示の主要処理チャンバ102の側壁を囲む。ワークピース61
0でのイオンエネルギーを制御するために、静電容量バイアス612が台614
に印加されてもよい。参照番号816の位置で主要処理チャンバ102と補助供
給源チャンバ300にフィルタが挿間されて、上述したように種密度をさらに制
御してもよい。
Referring to FIG. 10, as noted above, the primary processing chamber of the present invention is not limited to any particular structure. FIG. 10 shows yet another non-limiting example of separately controlling seed density. The main processing chamber 102 has a flat top 602 'and an inductive antenna 608 thereon. As in the embodiment of the main processing chamber 102, it surrounds the inductive antenna or, alternatively, the side wall of the main processing chamber 102 not shown in FIG. Workpiece 61
In order to control the ion energy at zero, a capacitance bias 612 is
May be applied. At position 816, a filter may be interposed between the main processing chamber 102 and the auxiliary source chamber 300 to further control the seed density as described above.

【0130】 補助供給源300のプラズマ発生に対するワークピース610の距離は、さら
に処理を制御するように設定されてもよい。例えば、ワークピース610の付近
の補助供給源プラズマの密度を低くする必要があれば、補助供給源プラズマ発生
からワークピースまでの距離を広くしてもよい。もしくは、別の非制限的例とし
て、参照番号816の位置で再結合フィルタが使用され、結合する前に確実に中
性ラジカルがワークピース610に到達するようにすることが望ましければ、ワ
ークピース610から補助供給源プラズマ発生の距離を狭めて処理を高めてもよ
い。これは、例えば、主要処理チャンバ102の側壁の高さの設定など、ワーク
ピース610上にある頂部602'の高さを設定することによって達成してもよ い。もしくは、これは、補助供給源チャンバ300の壁306の高さを設定する
ことによって達成してもよい。さらに、台614を調節して、補助供給源チャン
バ300のプラズマ発生に対してワークピース610の距離を設定してもよい。
また、本発明の他の実施形態はこれらのフィーチャを用いて処理を容易にしても
よい。
[0130] The distance of the workpiece 610 to the plasma generation of the auxiliary source 300 may be set to further control the process. For example, if the density of the auxiliary source plasma near the workpiece 610 needs to be reduced, the distance from the auxiliary source plasma generation to the workpiece may be increased. Alternatively, as another non-limiting example, a recombination filter may be used at 816 to ensure that neutral radicals reach workpiece 610 before binding, if desired. The processing may be enhanced by reducing the distance of the auxiliary source plasma generation from 610. This may be achieved by setting the height of the top 602 'on the workpiece 610, for example, setting the height of the sidewalls of the main processing chamber 102. Alternatively, this may be achieved by setting the height of wall 306 of auxiliary source chamber 300. Additionally, the platform 614 may be adjusted to set the distance of the workpiece 610 with respect to the plasma generation in the auxiliary source chamber 300.
Other embodiments of the present invention may use these features to facilitate processing.

【0131】 図4、6、8から10は、補助プラズマを発生させるために、ヘリコン波を発
生可能な電源電力アプリケータを用いた補助チャンバを示している。補助プラズ
マを発生するために、あらゆるタイプの電源電力アプリケータを用いてもよいが
、さまざまな利点の中でも特に、ヘリコン波を発生することができる電源電力ア
プリケータは、より広域の温度および圧力で補助チャンバに均一の高密度プラズ
マを発生させることが可能である。これによって、本発明は、エッチング処理お
よび堆積処理の処理窓を非常に広くして用いることが可能となる。
FIGS. 4, 6, 8 to 10 show an auxiliary chamber using a power supply applicator capable of generating helicon waves to generate an auxiliary plasma. Although any type of mains power applicator may be used to generate the auxiliary plasma, among other advantages, the mains power applicator capable of generating helicon waves may be used over a wider range of temperatures and pressures. It is possible to generate a uniform high-density plasma in the auxiliary chamber. This allows the present invention to be used with very wide processing windows for etching and deposition.

【0132】 ヘリコン波のいくつかの特性および例に関しては、1991年2月5日、19
95年6月6日および1995年7月4日にそれぞれ登録され、すべて「高密度
プラズマ堆積およびエッチング装置(High Density Plasma
Deposition and Etching Apparatus)」と
いう発明の名称のすべてキャンプベル等(Campbell et al.)に
よる米国特許第4,990,229号、第5,421,891号および第5,4
29,070号公報に開示されており、これらの内容全体をすべて本願明細書に
参照により引用されたものとする。
For some properties and examples of helicon waves, see February 5, 1991, 19
Registered on June 6, 1995 and July 4, 1995, respectively, all "High Density Plasma Deposition and Etching Equipment (High Density Plasma)
U.S. Patent Nos. 4,990,229, 5,421,891, and 5,4, all to Campbell et al., Entitled "Deposition and Etching Apparatus".
No. 29,070, the entire contents of which are incorporated herein by reference.

【0133】 補助チャンバ電源電力アプリケータを形成するために、通常、ベルチャンバ3
06の筒部の周りに二重ループアンテナ308が配置されて、m=0のヘリコン
波を発生する。RF供給発生器310が、1つのループには時計回りに電流を通
し、もう1つのループには反時計回りに電流を通すことによって、電流の位相を
180度ずらすように、ループのそれぞれに電流を流す。また、この実施形態で
は、補助電源電力アプリケータは、補助チャンバ300内に軸方向の磁場を形成
する電磁石309aと309bをぴったりと組み合わせたものを含む。内側磁石
309aと外側磁石309bの電流を調整して、補助チャンバの筒部の外側に即
座に分散する磁場を供給してもよい。
The bell chamber 3 is typically used to form an auxiliary chamber power supply applicator.
A double loop antenna 308 is arranged around the cylindrical portion 06 to generate a helicon wave of m = 0. The RF supply generator 310 passes current through one loop in a clockwise direction and current through the other loop in a counterclockwise direction so that each of the loops has a current that is 180 degrees out of phase. Flow. Also, in this embodiment, the auxiliary power applicator includes a tight combination of electromagnets 309a and 309b that create an axial magnetic field in the auxiliary chamber 300. The current of the inner magnet 309a and the outer magnet 309b may be adjusted to supply a magnetic field that is immediately dispersed outside the cylindrical portion of the auxiliary chamber.

【0134】 補助チャンバの軸方向の磁場は、処理チャンバにプラズマを向けて、処理チャ
ンバ内に分散する。また、このように分散することによって、ワークピースから
離れた場所に磁場が保持されるようになる。
The axial magnetic field of the auxiliary chamber directs the plasma to the processing chamber and is dispersed within the processing chamber. Also, such dispersion allows the magnetic field to be maintained at a location remote from the workpiece.

【0135】 筒部内での軸方向の磁場と誘導RF電場とが相互に作用することによって、補
助チャンバ内でのヘリコン波が増加する。ヘリコン波は、散乱との関係と、さら
にランダウ減衰に応じて、通常、補助チャンバと処理チャンバの結合部から10
cm以上離れた位置にあるワークピースの方向へと処理チャンバ内に伝播する。
前述したように、この距離は処理を最適化するように調節可能であり、選択され
た処理パラメータに依存するものである。
The interaction of the axial magnetic field and the induced RF electric field within the barrel increases the helicon wave in the auxiliary chamber. Helicon waves are typically 10 minutes from the junction of the auxiliary and processing chambers, depending on their relationship to scattering and also Landau attenuation.
Propagating into the processing chamber in the direction of the workpiece at a distance of more than 1 cm.
As mentioned above, this distance can be adjusted to optimize the processing and will depend on the processing parameters selected.

【0136】 補助チャンバ300は石英からなり、2つのループ間を伝播する誘導されたヘ
リコン波からの時間がRF周期の1/2となるようなループ間の最適な距離が1
0cmの距離を有するようにしてもよい(13.56MHzで約12.5cm)
。補助チャンバは、広域のRF電源電力(0.5から3.0kW)、磁場強度(
30から300G)および圧力(0.5から50mT)で動作するものであって
よい。補助チャンバは、m=0のヘリコン波を発生するために上述したレイアウ
トに限定されるものではない。また、他のアンテナの構造を用いて、例えば、m
=1などのヘリコン波の他のモードを発生させて、補助プラズマを形成してもよ
い。
The auxiliary chamber 300 is made of quartz and has an optimal distance between loops such that the time from the induced helicon wave propagating between the two loops is 1 / of the RF period.
It may have a distance of 0 cm (about 12.5 cm at 13.56 MHz)
. The auxiliary chamber has a wide range of RF power (0.5 to 3.0 kW), magnetic field strength (
30 to 300 G) and pressure (0.5 to 50 mT). The auxiliary chamber is not limited to the above-described layout for generating the helicon wave of m = 0. Also, using another antenna structure, for example, m
Other modes of the helicon wave such as = 1 may be generated to form the auxiliary plasma.

【0137】 ヘリコン源の利点は、プラズマパラメータを調節することによって、ヘリコン
波の電源電力アプリケータがイオン化速度を100%に近くすることである。こ
れは、補助チャンバが、フィルタリングされるかまたはフィルタリングされずに
主要チャンバに主要な荷電粒子を供給するように選択される場合、他のタイプの
電源電力アプリケータよりも利点を有する。さらに、イオン化速度が速いと、補
助チャンバが再結合タイプのフィルタを用いて中性粒子を発生するように選択さ
れる場合に利点となる。イオン化速度が速いと、所望のプラズマ種以上のものを
発生して主要チャンバに供給しやすくなる。
An advantage of the helicon source is that by adjusting the plasma parameters, the helicon wave source power applicator approaches ionization rates close to 100%. This has advantages over other types of mains power applicators if the auxiliary chamber is selected to supply the main charged particles to the main chamber with or without filtering. In addition, a high ionization rate is an advantage if the auxiliary chamber is selected to generate neutrals using a recombination type filter. A high ionization rate makes it easier to generate more than the desired plasma species and supply it to the main chamber.

【0138】 さらに、ヘリコン波の電源電力アプリケータで形成される濃プラズマによって
、プラズマ密度を下げて悪影響を及ぼさずにワークピースからさらに遠い場所に
プラズマを発生させることができる。したがって、十分な量の中性粒子種または
荷電粒子種を再結合前に主要チャンバに搬送することもできる。
In addition, the dense plasma formed by the helicon wave power supply applicator allows plasma to be generated further away from the workpiece without lowering the plasma density and having no adverse effects. Thus, a sufficient amount of neutral or charged particle species may be delivered to the main chamber before recombination.

【0139】 さらに、ヘリコン波を発生させることができる電源電力アプリケータは、電源
電力を効率的に結合し、広域の温度および電源電力で高度に均一なプラズマをH
っ性することができる。磁場でヘリコン波により発生したプラズマが均一性をも
てば、主要チャンバ内にプラズマが流入してより均一な処理プラズマを発生させ
ることができる。
In addition, a power supply applicator capable of generating helicon waves efficiently couples power supply to produce a highly uniform plasma over a wide range of temperatures and power.
Can be If the plasma generated by the helicon wave in the magnetic field has uniformity, the plasma can flow into the main chamber and generate more uniform processing plasma.

【0140】 均一な処理プラズマおよび種密度制御をさらに高めるために、主要処理チャン
バ電源電流アプリケータが主要チャンバに近接して設けられてもよい。主要処理
チャンバ電源電力は、主要チャンバに供給される処理ガスを'かして、主要チャ ンバに処理プラズマを発生させてもよい。補助プラズマは、主要チャンバ内に導
入されて、点火された処理ガスと補助プラズマが主要チャンバにおいて処理プラ
ズマを形成するように、点火された処理ガスに加えられてもよい。
To further enhance uniform processing plasma and seed density control, a main processing chamber power supply current applicator may be provided proximate to the main chamber. The main processing chamber power supply may use the processing gas supplied to the main chamber to generate a processing plasma in the main chamber. An auxiliary plasma may be introduced into the main chamber and added to the ignited processing gas such that the ignited processing gas and the auxiliary plasma form a processing plasma in the main chamber.

【0141】 したがって、補助プラズマは、主として中性ラジカルかまたは主として荷電粒
子から形成されてもよい。電源電力などの補助チャンバパラメータを調整するこ
とによって、補助プラズマ内の中性粒子と荷電粒子の密度を制御する。このよう
に、補助チャンバは、主要処理チャンバへの中性ラジカル種源かまたは荷電粒子
種源であってもよい。
Accordingly, the auxiliary plasma may be formed mainly from neutral radicals or mainly from charged particles. Adjusting the auxiliary chamber parameters, such as power supply power, controls the density of neutral and charged particles in the auxiliary plasma. Thus, the auxiliary chamber may be a source of neutral radicals or a source of charged particles to the main processing chamber.

【0142】 上述したように、補助プラズマの中性粒子種と荷電粒子種の密度は、主要チャ
ンバに所望の種密度を発生するように選択される。したがって、補助プラズマは
主要チャンバ内で種密度を調節する。さらに、上述したように、補助供給源チャ
ンバと主要チャンバにフィルタが挿間されて補助プラズマ種の密度をさらに制御
し、それによってさらに調整することが可能となり、処理プラズマ種の密度がさ
らに制御できるようになる。
As described above, the density of the neutral and charged particle species of the auxiliary plasma is selected to produce the desired species density in the main chamber. Thus, the auxiliary plasma regulates the seed density in the main chamber. In addition, as described above, a filter is interposed between the auxiliary source chamber and the main chamber to further control the density of the auxiliary plasma species, thereby allowing for further adjustment and further control of the density of the processing plasma species. Become like

【0143】 したがって、本発明により、全体の密度から処理プラズマ種の密度の制御を切
り離して別々に行うことが可能となる。処理プラズマの全体の密度と種密度を別
々に制御するために本発明が提供する一つの方法は、電源電力を補助チャンバと
主要処理チャンバに印加することによって行われる。これによって印加された電
源電力を有する主要チャンバに付与される補助プラズマは、処理プラズマを形成
する。全体の密度と主密度を別々にする別の方法は、電源電力を第1の補助チャ
ンバと第2の補助チャンバに印加して、主要チャンバでこれらのプラズマを結合
し、処理プラズマを形成することによって行われる。全体の密度と主密度を別々
にするさらなる別の方法は、電源電力を補助チャンバに印加し、補助チャンバプ
ラズマをフィルタリングして、主要チャンバに処理プラズマを形成することによ
って行われる。全体の密度と主密度を別々にするさらなる別の方法は、電源電力
を補助チャンバに印加し、熱解離された種など、主要チャンバに別の中性種源を
供給して、主要チャンバに処理プラズマを形成することである。
Therefore, according to the present invention, the control of the density of the processing plasma species can be performed separately from the overall density. One method provided by the present invention for separately controlling the overall density and seed density of the processing plasma is by applying power to the auxiliary chamber and the main processing chamber. The auxiliary plasma applied to the main chamber with the applied power supply thereby forms the processing plasma. Another way to separate the overall density from the main density is to apply power supply power to a first auxiliary chamber and a second auxiliary chamber to combine these plasmas in the main chamber to form a processing plasma. Done by Yet another way to separate the overall density from the main density is by applying power to the auxiliary chamber and filtering the auxiliary chamber plasma to form a process plasma in the main chamber. Yet another way to separate the overall density from the main density is to apply power to the auxiliary chamber and supply another source of neutrals to the main chamber, such as thermally dissociated species, to process the main chamber. Is to form a plasma.

【0144】 さらに、バイアス電力をワークピースに印加することによって、本発明により
、イオンエネルギーと、処理プラズマの種密度と全体の密度とを別々に制御でき
るようになる。
In addition, by applying bias power to the workpiece, the present invention allows for separate control of ion energy, seed density and overall density of the processing plasma.

【0145】 本発明では、補助チャンバのみ、補助チャンバと処理チャンバの両方、もしく
は処理チャンバのみに処理ガスが導入されて、ワークピースを処理してもよいこ
とに留意されたい。また、ワークピースの処理中、主要チャンバと補助供給源チ
ャンバの両方に電源電力が印加されてもよいことにも留意されたい。このように
、補助供給源チャンバと主要処理チャンバに同時に電源電力を発生させて印加す
る動作は、持続的または律動的なものであってもよく、さらに望ましければ、チ
ャンバ間で交互に行ってもよい。
It should be noted that, in the present invention, the processing gas may be introduced into only the auxiliary chamber, both the auxiliary chamber and the processing chamber, or only into the processing chamber to process the workpiece. It should also be noted that during processing of the workpiece, power may be applied to both the main chamber and the auxiliary source chamber. Thus, the act of simultaneously generating and applying power to the auxiliary source chamber and the main processing chamber may be continuous or pulsatile, and, if desired, alternate between chambers. Is also good.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明による補助供給源チャンバと組み合わせたプラズマリアクタの概略図で
ある。
FIG. 1 is a schematic view of a plasma reactor combined with an auxiliary source chamber according to the present invention.

【図2A】 マイクロ波電力アプリケータを用いる図1の補助パワーチャンバの部分側断面
図である。
FIG. 2A is a partial cross-sectional side view of the auxiliary power chamber of FIG. 1 using a microwave power applicator.

【図2B】 誘導コイル電力アプリケータを用いる図1の補助パワーチャンバの側断面図で
ある。
FIG. 2B is a side cross-sectional view of the auxiliary power chamber of FIG. 1 using an induction coil power applicator.

【図2C】 磁気強化形誘導電力アプリケータを用いる図1の補助供給源チャンバの側断面
図である。
2C is a side cross-sectional view of the auxiliary source chamber of FIG. 1 using a magnetically enhanced inductive power applicator.

【図2D】 ドーム状の頂部を有し磁気強化形誘導電力付与機を用いる図1の補助供給源チ
ャンバの側断面図である。
2D is a side cross-sectional view of the auxiliary source chamber of FIG. 1 using a magnetically enhanced induction power applicator having a dome-shaped top.

【図2E】 一対の対面する内部電極を含む容量性電力アプリケータを用いる図1の補助供
給源チャンバの側断面図である。
2E is a side cross-sectional view of the auxiliary source chamber of FIG. 1 using a capacitive power applicator including a pair of facing internal electrodes.

【図2F】 一対の対面する外部電極を含んだ容量性電力アプリケータを用いる図1の補助
供給源チャンバの側面図である。
FIG. 2F is a side view of the auxiliary source chamber of FIG. 1 using a capacitive power applicator that includes a pair of facing external electrodes.

【図3】 本発明による複数の中性粒子供給源チャンバと組み合わせたプラズマリアクタ
の概略図である。
FIG. 3 is a schematic diagram of a plasma reactor combined with a plurality of neutral particle source chambers according to the present invention.

【図4】 誘電結合形主要処理チャンバと磁気強化形誘電結合補助チャンバを利用する図
1の補助供給源チャンバを組み合わせたプラズマリアクタの側断面図である。
FIG. 4 is a side cross-sectional view of a plasma reactor combining the auxiliary source chamber of FIG. 1 utilizing an inductively coupled main processing chamber and a magnetically enhanced inductively coupled auxiliary chamber.

【図5A】 図1の導管再結合フィルタの側断面図である。5A is a side sectional view of the conduit recombination filter of FIG. 1. FIG.

【図5B】 図5Aの複数の導管再結合フィルタの側断面図である。FIG. 5B is a side cross-sectional view of the multiple conduit recombination filter of FIG. 5A.

【図6】 図5の複数の導管再結合フィルタを利用する図4のプラズマリアクタの側断面
図である。
6 is a side cross-sectional view of the plasma reactor of FIG. 4 utilizing the multiple conduit recombination filters of FIG.

【図7A】 本発明の接地したグリッドタイプの再結合フィルタの斜視図である。FIG. 7A is a perspective view of a grounded grid type recombination filter of the present invention.

【図7B】 本発明のバイアスをかけたグリッドタイプの再結合フィルタの斜視図である。FIG. 7B is a perspective view of a biased grid-type recombination filter of the present invention.

【図7C】 本発明の磁場クワドラポールタイプのフィルタの斜視図である。FIG. 7C is a perspective view of a magnetic quadrupole type filter of the present invention.

【図7D】 本発明の磁気タイプのフィルタの斜視図である。FIG. 7D is a perspective view of a magnetic type filter of the present invention.

【図8】 磁気強化形の誘導結合形補助供給源チャンバと誘導結合形主要処理チャンバを
利用し、可能なフィルタ配置を示した図1のプラズマリアクタの側断面図である
FIG. 8 is a side cross-sectional view of the plasma reactor of FIG. 1 utilizing a magnetically enhanced inductively coupled auxiliary source chamber and an inductively coupled main processing chamber and showing possible filter arrangements.

【図9】 磁気強化形の誘導結合形補助供給源チャンバと誘導結合形主要処理チャンバを
利用する図3のプラズマリアクタの側断面図である。
FIG. 9 is a side cross-sectional view of the plasma reactor of FIG. 3 utilizing a magnetically enhanced inductively coupled auxiliary source chamber and an inductively coupled main processing chamber.

【図10】 磁気強化形の誘導結合形補助供給源チャンバと平坦な天井を有する誘導結合形
主要処理チャンバを利用する図1のプラズマリアクタの側断面図である。
FIG. 10 is a side cross-sectional view of the plasma reactor of FIG. 1 utilizing a magnetically enhanced inductively coupled auxiliary source chamber and an inductively coupled main processing chamber having a flat ceiling.

───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),JP,KR (72)発明者 コランデンコ, アーノルド アメリカ合衆国, カリフォルニア州, サン フランシスコ, ユーキャリプタス ドライヴ 1747 (72)発明者 シャン, ホン, チン アメリカ合衆国, カリフォルニア州, サン ノゼ, タンブル ウェイ 3630 (72)発明者 ローウェンハード, ピーター アメリカ合衆国, カリフォルニア州, サン ノゼ, ロッスウッド ドライヴ 1862 (72)発明者 リー, チー アメリカ合衆国, カリフォルニア州, フリーモント, ソルスティス コート 746 (72)発明者 イー, ヤン アメリカ合衆国, カリフォルニア州, キャンベル, ヴィア サライス 3862 (72)発明者 チャン, キューイェン アメリカ合衆国, カリフォルニア州, ミルピタス, ローズ ドライヴ 230 (72)発明者 キュー, ソンリン アメリカ合衆国, カリフォルニア州, フリーモント, デニス ストリート 41641 (72)発明者 チェン, アーサー アメリカ合衆国, カリフォルニア州, フリーモント, ボッテガ コート 744 (72)発明者 サトー, アーサー アメリカ合衆国, カリフォルニア州, サン ノゼ, イースタス ドライヴ 4733 (72)発明者 グリムバーゲン, マイケル アメリカ合衆国, カリフォルニア州, レッドウッド シティ, マルティニーク ドライヴ 767 (72)発明者 マー, ダイアナ アメリカ合衆国, カリフォルニア州, サラトガ, キルト コート 19600 (72)発明者 ヤマルティーノ, ジョン アメリカ合衆国, カリフォルニア州, パロ アルト, ウエイヴァリー 385 (72)発明者 ヤン, チュン アメリカ合衆国, カリフォルニア州, サンタ クララ, モンロー ストリート 2200 ナンバー1208 (72)発明者 ツワルスキー, ウェイド アメリカ合衆国, カリフォルニア州, サニーヴェイル, シャーレイ アヴェニ ュー 860 Fターム(参考) 5F004 AA03 AA05 BA04 BA20 BB18 BB28 BC08 CA02 DA00 DA04 DA25 DA26 DB03 DB07 ──────────────────────────────────────────────────続 き Continuation of front page (81) Designated country EP (AT, BE, CH, CY, DE, DK, ES, FI, FR, GB, GR, IE, IT, LU, MC, NL, PT, SE ), JP, KR (72) Inventor Corandenco, Arnold United States of America, San Francisco, California, San Francisco, Eucalyptus Drive 1747 (72) Inventor Shan, Hong, Ching United States of America, California, San Jose, Tumble Way 3630 (72) Inventor Lowenhard, Peter United States, California, San Jose, Rosswood Drive 1862 (72) Inventor Lee, Chi United States of America, California, Fremont, Solstice 746 (72) Inventor E. Jan United States of America, California, Campbell, Via Sarais 3862 (72) Inventor Chang, Cuyen United States of America, California, Milpitas, Rose Drive 230 (72) Inventor of Cue, Songlin United States of America, Dennis Street, Fremont, California 41641 (72) Inventor Chen, Arthur United States of America, California, Fremont, Bottega Court 744 (72) Inventor Sato, Arthur United States of America, California, San Jose, Eastas Drive 4733 (72) Inventor Grimbergen, Michael United States, California, Redwood City, Martinique Drive 767 (72) Inventor Mar, Diana United States of America, Saratoga, California , Quilt Court 19600 (72) Inventor Yamartino, John United States of America, Palo Alto, California 385 (72) Inventor Jan, Chun United States of America, California, Santa Clara, Monroe Street 2200 Number 1208 (72) Inventor Twarski, Wade United States, California, Sunnyvale, Charlay Avenue 860 F-term (reference) 5F004 AA03 AA05 BA04 BA20 BB18 BB28 BC08 CA02 DA00 DA04 DA25 DA26 DB03 DB07

Claims (35)

【特許請求の範囲】[Claims] 【請求項1】 プラズマリアクタであって、 a)ワークピースを含み、処理プラズマを発生させることが可能な処理チャン
バと、 b)補助プラズマを発生させることが可能な補助チャンバと、 c)前記補助チャンバで発生させたプラズマを前記処理チャンバに導入してワ
ークピースを処理可能にするために、前記処理チャンバに結合させた前記補助チ
ャンバを含むプラズマリアクタ。
1. A plasma reactor, comprising: a) a processing chamber including a workpiece and capable of generating a processing plasma; b) an auxiliary chamber capable of generating an auxiliary plasma; A plasma reactor including the auxiliary chamber coupled to the processing chamber for introducing plasma generated in the chamber into the processing chamber to enable processing of a workpiece.
【請求項2】 処理チャンバ電源電力アプリケータおよび補助チャンバ電源
電力アプリケータをさらに含む請求項1に記載のプラズマリアクタ。
2. The plasma reactor of claim 1, further comprising a processing chamber power supply applicator and an auxiliary chamber power supply applicator.
【請求項3】 前記処理チャンバ電源電力アプリケータにより前記処理チャ
ンバ内に発生し、密度を有する中性粒子種および荷電粒子種からなる処理プラズ
マをさらに含み、さらに、補助チャンバ電源電力アプリケータにより前記補助チ
ャンバ内に発生する補助プラズマをさらに含むものであって、前記処理チャンバ
電源電力アプリケータおよび前記補助チャンバ電源電力アプリケータにより、処
理プラズマの種密度の調整が可能となる請求項2に記載のプラズマリアクタ。
3. The process chamber power supply applicator further includes a processing plasma generated in the processing chamber comprising neutral and charged particle species having a density and further comprising an auxiliary chamber power supply applicator. 3. The process chamber of claim 2, further comprising an auxiliary plasma generated in an auxiliary chamber, wherein the processing chamber power supply applicator and the auxiliary chamber power supply applicator enable adjustment of a seed density of the processing plasma. Plasma reactor.
【請求項4】 前記処理プラズマが、ある全密度を有し、前記処理チャンバ
電源電力アプリケータおよび前記補助チャンバ電源電力アプリケータにより、前
記処理プラズマの全密度とは別々に、処理プラズマの主密度の調整が可能となる
請求項3に記載のプラズマリアクタ。
4. The processing plasma has a total density and the processing chamber power supply applicator and the auxiliary chamber power supply applicator separate the processing plasma main power density from the processing plasma power density. The plasma reactor according to claim 3, wherein adjustment of the temperature is possible.
【請求項5】 前記補助プラズマが、主として荷電粒子を含む請求項3に記
載のプラズマリアクタ。
5. The plasma reactor according to claim 3, wherein said auxiliary plasma mainly contains charged particles.
【請求項6】 前記補助プラズマが、主として中性粒子を含む請求項3に記
載のプラズマリアクタ。
6. The plasma reactor according to claim 3, wherein said auxiliary plasma mainly contains neutral particles.
【請求項7】 前記補助チャンバと前記処理チャンバに挿間されたフィルタ
をさらに含む請求項1に記載のプラズマリアクタ。
7. The plasma reactor according to claim 1, further comprising a filter inserted between the auxiliary chamber and the processing chamber.
【請求項8】 処理チャンバ電源電力アプリケータにより前記処理チャンバ
内に発生し、密度を有する中性粒子種および荷電粒子種からなる処理プラズマを
さらに含み、さらに、補助チャンバ電源電力アプリケータにより前記補助チャン
バ内に発生する補助プラズマをさらに含むものであって、前記処理チャンバ電源
電力アプリケータおよび前記補助チャンバ電源電力アプリケータおよび前記フィ
ルタにより、処理プラズマの種密度の調整が可能となる請求項7に記載のプラズ
マリアクタ。
8. A processing chamber power supply applicator further comprising a processing plasma generated in the processing chamber comprising neutral and charged particle species having a density and further comprising an auxiliary chamber power supply applicator. The method of claim 7, further comprising an auxiliary plasma generated in the chamber, wherein the processing chamber power supply applicator, the auxiliary chamber power supply applicator, and the filter enable adjustment of a seed density of the processing plasma. The plasma reactor as described.
【請求項9】 前記補助プラズマが、主として荷電粒子からなる請求項8に
記載のプラズマリアクタ。
9. The plasma reactor according to claim 8, wherein said auxiliary plasma mainly comprises charged particles.
【請求項10】 前記フィルタが、前記補助チャンバから前記処理チャンバ
へ主として中性粒子種を通過させる請求項9に記載のプラズマリアクタ。
10. The plasma reactor according to claim 9, wherein said filter mainly passes neutral particle species from said auxiliary chamber to said processing chamber.
【請求項11】 前記フィルタにより補助プラズマの荷電粒子種が再結合し
て中性粒子種を形成した後に、前記処理チャンバ内に導入される請求項10に記
載のプラズマリアクタ。
11. The plasma reactor according to claim 10, wherein the charged particle species of the auxiliary plasma are recombined by the filter to form neutral particle species, and then introduced into the processing chamber.
【請求項12】 前記フィルタが、前記補助チャンバから前記処理チャンバ
へ、選択された荷電粒子を通過させる請求項9に記載のプラズマリアクタ。
12. The plasma reactor according to claim 9, wherein said filter passes selected charged particles from said auxiliary chamber to said processing chamber.
【請求項13】 前記フィルタが、前記補助チャンバから前記処理チャンバ
へ、ある選択された電荷対質量を有する主として荷電粒子を通過させる請求項1
2に記載のプラズマリアクタ。
13. The filter of claim 1, wherein the filter passes primarily charged particles having a selected charge-to-mass from the auxiliary chamber to the processing chamber.
3. The plasma reactor according to 2.
【請求項14】 前記補助プラズマが、主として中性粒子からなる請求項8
に記載のプラズマリアクタ。
14. The auxiliary plasma according to claim 8, wherein the auxiliary plasma mainly comprises neutral particles.
3. The plasma reactor according to 1.
【請求項15】 前記フィルタが、前記補助チャンバから前記処理チャンバ
へ、主として中性粒子を通過させる請求項14に記載のプラズマリアクタ。
15. The plasma reactor according to claim 14, wherein the filter mainly passes neutral particles from the auxiliary chamber to the processing chamber.
【請求項16】 前記フィルタが、前記補助チャンバから前記処理チャンバ
へ、主として荷電粒子を通過させる請求項14に記載のプラズマリアクタ。
16. The plasma reactor according to claim 14, wherein said filter mainly passes charged particles from said auxiliary chamber to said processing chamber.
【請求項17】 処理プラズマを発生でき、前記処理プラズマの全密度を制
御可能な処理チャンバ電源電力アプリケータをさらに含む請求項1に記載のプラ
ズマリアクタ。
17. The plasma reactor of claim 1, further comprising a processing chamber power supply power applicator capable of generating a processing plasma and controlling a total density of the processing plasma.
【請求項18】 前記処理チャンバが、ワークピースでのイオンエネルギー
を制御可能であるバイアス電力プリケータをさらに含む請求項17に記載のプラ
ズマリアクタ。
18. The plasma reactor of claim 17, wherein said processing chamber further comprises a bias power replicator capable of controlling ion energy at a workpiece.
【請求項19】 前記処理チャンバが、ワークピースでのイオンエネルギー
を制御可能であるバイアス電力プリケータをさらに含む請求項1に記載のプラズ
マリアクタ。
19. The plasma reactor of claim 1, wherein said processing chamber further comprises a bias power replicator capable of controlling ion energy at a workpiece.
【請求項20】 前記バイアス電力プリケータが、1つの陰極および1つの
陽極をさらに含む請求項19に記載のプラズマリアクタ。
20. The plasma reactor of claim 19, wherein said bias power replicator further comprises one cathode and one anode.
【請求項21】 前記補助チャンバが、前記補助チャンバ内にヘリコン波を
発生可能な補助電力アプリケータをさらに含む請求項1に記載のプラズマリアク
タ。
21. The plasma reactor according to claim 1, wherein the auxiliary chamber further includes an auxiliary power applicator capable of generating a helicon wave in the auxiliary chamber.
【請求項22】 前記補助チャンバが、磁気強化形の誘導結合形電源電力ア
プリケータをさらに含む請求項1に記載のプラズマリアクタ。
22. The plasma reactor of claim 1, wherein said auxiliary chamber further comprises a magnetically enhanced inductively coupled power supply applicator.
【請求項23】 前記磁気強化形の誘導結合形電源電力アプリケータが、ヘ
リコン波を発生することが可能である請求項22に記載のプラズマリアクタ。
23. The plasma reactor of claim 22, wherein said magnetically enhanced inductively coupled power supply applicator is capable of generating helicon waves.
【請求項24】 前記処理チャンバが、誘導アンテナをさらに含む請求項2
2に記載のプラズマリアクタ。
24. The processing chamber of claim 2, further comprising an inductive antenna.
3. The plasma reactor according to 2.
【請求項25】 前記処理チャンバが、天井をさらに含み、さらに、前記補
助チャンバが前記処理チャンバの天井に結合される請求項24に記載のプラズマ
リアクタ。
25. The plasma reactor of claim 24, wherein said processing chamber further comprises a ceiling, and wherein said auxiliary chamber is coupled to a ceiling of said processing chamber.
【請求項26】 前記誘導アンテナが、前記処理チャンバの天井の上側に配
置される請求項25に記載のプラズマリアクタ。
26. The plasma reactor according to claim 25, wherein the inductive antenna is disposed above a ceiling of the processing chamber.
【請求項27】 前記処理チャンバの天井がドーム状である請求項26に記
載のプラズマリアクタ。
27. The plasma reactor according to claim 26, wherein a ceiling of the processing chamber has a dome shape.
【請求項28】 前記処理チャンバの天井が平坦である請求項26に記載の
プラズマリアクタ。
28. The plasma reactor according to claim 26, wherein the ceiling of the processing chamber is flat.
【請求項29】 前記処理チャンバが、側壁をさらに含み、さらに前記誘導
アンテナが前記処理チャンバの側壁に隣接して配置される請求項25に記載のプ
ラズマリアクタ。
29. The plasma reactor of claim 25, wherein said processing chamber further comprises a side wall, and wherein said inductive antenna is disposed adjacent to a side wall of said processing chamber.
【請求項30】 前記処理チャンバの天井がドーム状である請求項29に記
載のプラズマリアクタ。
30. The plasma reactor according to claim 29, wherein a ceiling of the processing chamber has a dome shape.
【請求項31】 前記処理チャンバの天井が平坦である請求項29に記載の
プラズマリアクタ。
31. The plasma reactor according to claim 29, wherein a ceiling of the processing chamber is flat.
【請求項32】 ワークピース処理方法であって、 a)補助プラズマを発生する工程と、 b)前記補助プラズマをフィルタリングする工程と、 c)主要プラズマを発生する工程と、 d)主要プラズマとフィルタリングした補助プラズマの混合物からなる処理プ
ラズマを形成する工程と、 e)ワークピースを前記処理プラズマに晒す工程と を含むワークピース処理方法。
32. A method of processing a workpiece, comprising: a) generating an auxiliary plasma; b) filtering the auxiliary plasma; c) generating a main plasma; d) filtering the main plasma. Forming a processing plasma comprising a mixture of the selected auxiliary plasmas; and e) exposing the workpiece to the processing plasma.
【請求項33】 前記補助プラズマを、主として中性種からなるように発生
させる請求項32に記載のワークピース処理方法。
33. The workpiece processing method according to claim 32, wherein the auxiliary plasma is generated so as to be mainly composed of neutral species.
【請求項34】 前記補助プラズマを、主として荷電粒子からなるように発
生させる請求項32に記載のワークピース処理方法。
34. The workpiece processing method according to claim 32, wherein the auxiliary plasma is generated so as to be mainly composed of charged particles.
【請求項35】 前記補助プラズマが、荷電粒子が除去されるようにフィル
タリングさせる請求項32に記載のワークピース処理方法。
35. The method of claim 32, wherein the auxiliary plasma filters to remove charged particles.
JP2000530929A 1998-02-09 1999-02-08 Plasma-assisted processing chamber for individually controlling seed density Withdrawn JP2002503031A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US2096098A 1998-02-09 1998-02-09
US09/020,960 1998-02-09
US09/119,417 US6352049B1 (en) 1998-02-09 1998-07-20 Plasma assisted processing chamber with separate control of species density
US09/119,417 1998-07-20
PCT/US1999/002718 WO1999040609A1 (en) 1998-02-09 1999-02-08 Plasma assisted processing chamber with separate control of species density

Publications (1)

Publication Number Publication Date
JP2002503031A true JP2002503031A (en) 2002-01-29

Family

ID=21801539

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000530929A Withdrawn JP2002503031A (en) 1998-02-09 1999-02-08 Plasma-assisted processing chamber for individually controlling seed density

Country Status (3)

Country Link
EP (1) EP1055249A1 (en)
JP (1) JP2002503031A (en)
WO (1) WO1999040609A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014057057A (en) * 2012-08-27 2014-03-27 Lam Research Corporation Plasma-enhanced etching in augmented plasma processing system
JP2015088456A (en) * 2013-10-31 2015-05-07 サムソン エレクトロ−メカニックス カンパニーリミテッド. Plasma generator
JP2015526897A (en) * 2012-07-20 2015-09-10 ナノプラス Apparatus for processing objects using plasma
JP2018029052A (en) * 2016-08-16 2018-02-22 エフ イー アイ カンパニFei Company Magnet for use in plasma cleaner
US10134605B2 (en) 2013-07-11 2018-11-20 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN111527583A (en) * 2017-12-27 2020-08-11 马特森技术有限公司 Plasma processing apparatus and method

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635578B1 (en) * 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
DE10024883A1 (en) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasma etching system
TW516076B (en) * 2000-06-13 2003-01-01 Applied Materials Inc Method and apparatus for increasing the utilization efficiency of gases during semiconductor processing
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP4526540B2 (en) 2004-12-28 2010-08-18 株式会社日立国際電気 Substrate processing apparatus and substrate processing method
US8501624B2 (en) 2008-12-04 2013-08-06 Varian Semiconductor Equipment Associates, Inc. Excited gas injection for ion implant control

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58202533A (en) * 1982-05-21 1983-11-25 Hitachi Ltd Surface treatment device
JPS59121747A (en) * 1982-12-28 1984-07-13 Fujitsu Ltd Method of ion milling
WO1986006923A1 (en) * 1985-05-03 1986-11-20 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
FR2614041B1 (en) * 1987-04-14 1989-06-30 Guy Mongodin PROCESS AND APPARATUS FOR THE TREATMENT OF SUBSTRATES USING A BEAM OF ACCELERATED IONS FOR EROSION OF SUCH SUBSTRATES, OR FOR THE DEPOSITION OF ONE OR MORE COATING LAYERS
KR930004115B1 (en) * 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 Ashing apparatus and treatment method thereof
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JPH0775226B2 (en) * 1990-04-10 1995-08-09 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン Plasma processing method and apparatus
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
JPH04130628A (en) * 1990-09-20 1992-05-01 Sanyo Electric Co Ltd Dry etching method
JP2888258B2 (en) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
JPH05326452A (en) * 1991-06-10 1993-12-10 Kawasaki Steel Corp Equipment and method for plasma treatment
US5292370A (en) * 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
JPH0684837A (en) * 1992-09-04 1994-03-25 Mitsubishi Electric Corp Plasma treatment apparatus
JP3275036B2 (en) * 1993-03-29 2002-04-15 理化学研究所 Electron beam excited plasma generator
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JPH0992643A (en) * 1995-09-28 1997-04-04 Toshiba Corp Plasma treating device and method
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015526897A (en) * 2012-07-20 2015-09-10 ナノプラス Apparatus for processing objects using plasma
JP2014057057A (en) * 2012-08-27 2014-03-27 Lam Research Corporation Plasma-enhanced etching in augmented plasma processing system
CN107424900A (en) * 2012-08-27 2017-12-01 朗姆研究公司 Strengthen the plasma enhancing etching in plasma process system
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US11171021B2 (en) 2013-04-05 2021-11-09 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US10134605B2 (en) 2013-07-11 2018-11-20 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP2015088456A (en) * 2013-10-31 2015-05-07 サムソン エレクトロ−メカニックス カンパニーリミテッド. Plasma generator
JP2018029052A (en) * 2016-08-16 2018-02-22 エフ イー アイ カンパニFei Company Magnet for use in plasma cleaner
CN111527583A (en) * 2017-12-27 2020-08-11 马特森技术有限公司 Plasma processing apparatus and method
JP2021509525A (en) * 2017-12-27 2021-03-25 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. Plasma processing equipment and methods
CN111527583B (en) * 2017-12-27 2023-10-20 玛特森技术公司 Plasma processing apparatus and method

Also Published As

Publication number Publication date
WO1999040609A1 (en) 1999-08-12
EP1055249A1 (en) 2000-11-29

Similar Documents

Publication Publication Date Title
US6352049B1 (en) Plasma assisted processing chamber with separate control of species density
US6635578B1 (en) Method of operating a dual chamber reactor with neutral density decoupled from ion density
US10056233B2 (en) RPS assisted RF plasma source for semiconductor processing
EP0847231B1 (en) Apparatus and method for generation of a plasma torch
US5421891A (en) High density plasma deposition and etching apparatus
US5429070A (en) High density plasma deposition and etching apparatus
US8337713B2 (en) Methods for RF pulsing of a narrow gap capacitively coupled reactor
JP3987131B2 (en) Induction enhanced reactive ion etching
JPH0770532B2 (en) Plasma processing device
US20030155079A1 (en) Plasma processing system with dynamic gas distribution control
Booth et al. Dual-frequency capacitive radiofrequency discharges: effect of low-frequency power on electron density and ion flux
JPH10308296A (en) Method for sealing plasma in inductive coupling type plasma reactor
JPH05206072A (en) Apparatus and method for plasma working using inductive rf coupling
US20040097079A1 (en) High speed silicon etching method
TW200405769A (en) Externally excited torroidal plasma source with magnetic control of ion distribution
JPS62241335A (en) Plasma etching reinforced by magnetron
JP2002503031A (en) Plasma-assisted processing chamber for individually controlling seed density
US20010017109A1 (en) Enhanced plasma mode and system for plasma immersion ion implantation
US20070017897A1 (en) Multi-frequency plasma enhanced process chamber having a toroidal plasma source
US5332880A (en) Method and apparatus for generating highly dense uniform plasma by use of a high frequency rotating electric field
WO2009070562A1 (en) Plasma control using dual cathode frequency mixing
US5783100A (en) Method of high density plasma etching for semiconductor manufacture
EP0789506B1 (en) Apparatus for generating magnetically neutral line discharge type plasma
JP3177573B2 (en) Magnetic neutral line discharge plasma processing equipment
US6037267A (en) Method of etching metallic film for semiconductor devices

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060509