JP2001116630A - 熱電対温度計の校正済恒温装置 - Google Patents

熱電対温度計の校正済恒温装置

Info

Publication number
JP2001116630A
JP2001116630A JP2000288290A JP2000288290A JP2001116630A JP 2001116630 A JP2001116630 A JP 2001116630A JP 2000288290 A JP2000288290 A JP 2000288290A JP 2000288290 A JP2000288290 A JP 2000288290A JP 2001116630 A JP2001116630 A JP 2001116630A
Authority
JP
Japan
Prior art keywords
temperature
thermostat
calibrated
thermocouple
metal plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000288290A
Other languages
English (en)
Inventor
John M Lund
ジョン・エム・ランド
Jonathan J Parle
ジョナサン・ジェイ・パール
Monte R Washburn
モンテ・アール・ウォッシュバーン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fluke Corp
Original Assignee
Fluke Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23601580&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2001116630(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Fluke Corp filed Critical Fluke Corp
Publication of JP2001116630A publication Critical patent/JP2001116630A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K15/00Testing or calibrating of thermometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • G01K7/10Arrangements for compensating for auxiliary variables, e.g. length of lead
    • G01K7/12Arrangements with respect to the cold junction, e.g. preventing influence of temperature of surrounding air
    • G01K7/13Circuits for cold-junction compensation

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)

Abstract

(57)【要約】 【課題】 器具の製造後の校正ステップをなくした熱電
対温度計の校正済恒温装置。 【解決手段】 十分な熱量と良好な熱伝導性をもたらす
ために厚い金属板を含む特殊なプリント回路基板上に、
小型恒温ブロックが組み立てられる。プリント回路基板
上に熱電対参照接合点に温度センサが載置され、参照接
合点の温度を観測する。校正済恒温装置はまた、温度セ
ンサ用の電流源と、温度センサに特有の校正データを格
納するメモリデバイスを含む。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般に、熱電対温
度計に関し、さらに詳しく言えば、熱電対温度計の校正
済恒温装置を提供する方法および装置に関する。
【0002】
【従来の技術】熱電対とは、異なる金属からなる一対の
導体であり、2点で接合されることによって、これらの
2つの接合点での熱電効果により電圧が発生すること
は、当業者には周知のことである。共に接合される異な
る種類の金属は、異なる熱電効果を発生し、このような
異なる種類の熱電対は市販されており、これまで長い
間、異なる温度範囲を測定するためのものであった。熱
電対の電圧対温度の関係は非線形であり、さまざまな種
類の熱電対のそれぞれに対して、正確な電圧対温度表が
入手可能である。これらの表は、当初は、2つの接合点
の1つを参照接合点とし、それを氷浴内に置いて参照接
合点を氷点に保ちながら、ある温度範囲でもう1つの接
合点の電圧を測定することにより得られた。熱電対のい
くつかの共通型は、J型(鉄−コンスタンタン)と、K
型(クロメル−アルメル)と、T型(銅−コンスタンタ
ン)である。これらの熱電対は、温度を測定するための
自由端と、測定器具に接続し参照接合点を形成するため
の差込端での測定接合点をもつように製造される。
【0003】熱電対温度計は、熱電対が差し込まれるデ
ィジタル電子器具である。通常、この器具は、熱電対の
型を選択でき、熱電対の電圧を測定するための回路を含
む。また、従来の熱電対温度計は、液晶ディスプレイ
(LCD)装置などのディスプレイ装置も含んでおり、
ディスプレイ上に数字で温度が読み出される。
【0004】熱電対を器具に差込み接続するインターフ
ェースは、それが熱電対の参照接合点であるため、シス
テムには非常に重要なものとなる。米国特許第4,71
8,777号には、十分な量と良好な熱導電率のアルミ
ナセラミックから形成される恒温ブロックを使用して、
一対の熱電対ワイヤを器具内に差し込む場合に、ブロッ
ク内に装着した2つの導電コネクタパッドの温度を安定
させ、実質的に等しく維持する方法が教示されている。
コネクタパッド間にある恒温ブロック内に装着され、ほ
ぼ線形の電圧対温度等量を有する温度センサが、参照接
合点により発生した誤差に対し出力読み出しを補償する
ために、恒温ブロックの温度を測定する。これは、参照
接合点の測定温度に対応する誤差補正電圧を参照用テー
ブルから読み出し、それを熱電対から発生した電圧から
減算する器具コントローラにより達成される。
【0005】従来、参照接合点を校正する方法は、電子
温度計器具が製造された後、水銀温度計と共に、室温な
どの安定した温度の保温浴に熱電対を置く方法であっ
た。水銀温度計が安定した後、参照接合点を校正する人
が、電子温度計のディスプレイを観察しながら、ディス
プレイが水銀温度計の読みと適合するまでポテンショメ
ータを切り換えたままにすることによって、温度センサ
のバイアス電流を調節していた。温度センサ、通常は温
度検知トランジスタが、温度測定範囲においてほぼ線形
の電圧対温度等量が特徴であるため、参照用テーブルで
利用できる電圧対温度範囲にわたってほぼ線形に動作さ
れることから、1つの温度のみでしか校正を実行できな
かった。すなわち、温度検知トランジスタのベース−エ
ミッタ接合点の電圧対温度がほぼ線形であり、メーカー
によりある特定の直線性許容差に特化されるので、熱電
対の温度等量範囲内にある任意の安定した温度で一旦校
正されれば、すべての温度に対して参照接合点が校正さ
れたものと考えられていた。
【0006】
【発明が解決しようとする課題】従来の校正方法に関す
る主な欠点は、この方法では時間がかかり作業が面倒
で、しかも器具を最終的に組み立てた後に実行しなけれ
ばならない点にある。各器具は、別々に校正されなけれ
ばならいため、校正時間が製造プロセスにさらに追加さ
れることとなり、その結果、製造速度が遅く、時間がか
かり、さらには手間も増えることとなる。
【0007】別の欠点を挙げると、温度センサの許容差
は、同じメーカーのものでさえ部品ごとに異なるため、
測定器具の公表されている許容差仕様が、温度センサの
メーカーにより公表された仕様よりも劣るものとなっ
た。
【0008】したがって、器具を組み立てる前に校正さ
れることにより、器具の製造後に行う面倒で時間のかか
る校正をなくすか、もしくは温度を校正せずにインター
フェース部分の取り替えを可能にする恒温熱電対インタ
ーフェース装置および参照接合点を提供することが望ま
れる。いくつかの恒温装置の校正を同時に行いやすいよ
うにするために、装置の物理的なサイズをできるだけ小
さくしながらも、十分な熱量と良好な熱伝導性を維持す
ることが望まれる。
【0009】
【課題を解決するための手段】本発明によると、熱電対
に参照接合点を与え、後工程として時間のかかる温度校
正ステップを行う必要がないように温度計の組立てが可
能な校正済恒温装置を熱電対温度計が備える。また、校
正された恒温装置は、温度校正ステップを行う必要がな
く部分取り替えを可能にする。
【0010】恒温装置は、恒温ブロックをコンパクトに
する特殊なプリント回路基板を備えた基材上に製造さ
れ、銅またはアルミニウムなどの厚い金属板を含むこと
により、十分な熱量と良好な熱伝導性をもたらす。熱電
対プラグのブレードとの摺動係合のために、プリント回
路基板の比較的薄い絶縁層の表面上に、一対の導電パッ
ドが並んで配設される。線形の電圧対温度特性を有する
バイポーラトランジスタであることが適切な場合がある
温度センサが、導電パッド間にあるプリント回路基板の
表面上に装着される。また、基材上に装着されるもの
は、温度センサに固有の校正データを含むメモリデバイ
スである。格納された校正データは、1以上の点と、温
度検知トランジスタの電圧対温度の特性曲線での直線の
勾配に関係するものであるため、動作条件下で、測定さ
れたセンサ電圧は直接参照接合点の温度に移行できる。
また、温度センサの電流源が、基材上に装着されること
も好ましい。
【0011】電子装置を校正用に氷浴につけることがで
きないため、好適な実施形態による校正は、熱の空気コ
ラムを用いて実行され、このコラムの中には、同時にい
くつかの装置を置いてもよく、既知の温度の空気が装置
全体に流れて、それらを所望の温度にする。好適な実施
形態では、関連するコントローラが、温度検知トランジ
スタのベース−エミッタ電圧を2つの温度で測定し、こ
れらの電圧と温度の値に関する情報を校正データとして
上述したメモリデバイスに格納する。この替わりとし
て、温度検知トランジスタの勾配が、メーカーにより僅
かな許容差内にある既知または固有のものであれば、一
点のみでしか温度を測定する必要がなく、勾配情報と共
にこの点の情報も校正データとして格納されてもよい。
しかしながら、この代替例にはより高価なセンサトラン
ジスタが必要であると考えられる。いずれにせよ、その
後、校正データによって、センサの動的動作範囲の任意
のセンサ電圧が参照接合点の温度に直接移行させること
が可能となる。
【0012】校正された恒温装置が器具内に取り付けら
れ器具が動作し始めた後、温度センサは、器具に固有の
動作温度範囲において装置の温度を観測する。温度が測
定されるとき、温度計の処理回路が、温度検知トランジ
スタの出力を読み取り、メモリデバイスから校正データ
を得て参照接続点の温度を決定する。参照接続点の温度
が既知のものであれば、温度計の測定接合点の温度は従
来の技術を用いて決定可能である。次いで、測定した温
度は、ディスプレイ装置上に読みとして与えられる。
【0013】したがって、本発明の一つの目的は、熱電
対温度計の新規の校正済恒温装置を提供することであ
る。
【0014】本発明の別の目的は、温度計の製造後かま
たは恒温装置の置換え後の校正ステップをなくす熱電対
温度計の校正済恒温装置を提供することである。
【0015】本発明のさらなる目的は、熱電対温度計で
使用するための小型の恒温ブロックを提供することであ
る。
【0016】本発明の他の目的、特徴および利点は、添
付の図面と組み合わせて以下の記載を読むことにより当
業者には明らかになるであろう。
【0017】
【発明の実施の形態】図1を参照すると、本発明を理解
しやすいように、熱電対温度計の校正済恒温装置10の
略図が示されている。接合点16と18で熱電対12と
電圧計(VM)14が接合されている。熱電対12は、
ワイヤ20と22を備え、これらは熱電対の自由端の測
定接合点24で接合された異なる金属AとBからなる。
金属AとBは、熱電対の型で規定される。電圧計14を
接合点16と18にそれぞれつなぐワイヤ26と28
は、適切には銅(Cu)からなるものであってよい。
【0018】当業者には周知のように、接合点16と1
8が同じ温度に維持されている限り(恒温の定義)、A
−CuとB−Cuの接合点が発生する電圧は、直列の互
いに向かい合った関係にある。その効果は、接合点16
と18にかかる電圧VRが、まるで参照接合点の温度で
AとBの接合点により発生されたかのようであり、かつ
したがって2つの接合点16と18は、熱電対12の仮
想参照接合点となることである。仮想接合点16−18
の温度が分かれば、接合点24の温度Tが、参照用テー
ブルまたは参照用テーブルの代わりに曲線近似計算を用
いるなど、従来のプロセスを用いて所与の熱電対の型に
対して決定されてもよい。
【0019】恒温装置10上の接合点16と18間に設
置されているものは、温度検知トランジスタ30であ
り、適切には市販されている2N3904型であってよ
い。理解されるように、好適な実施形態による校正を行
うことによって、このような比較的安価な部品を用いる
ことができる。
【0020】また、恒温装置10には、温度検知トラン
ジスタ30に動作バイアスを供給する電流源32と、校
正データを格納するメモリデバイス34が含まれること
が好ましい。電流源32は、適切には多数の従来の電流
源のうちの任意のものであってよい。好適な実施形態で
は、電流源は、所定の参照電圧VREFを印加することに
よって動作する市販の高精度レジスタチップであり、好
適な実施形態では、この参照電圧は+1.23ボルト
で、温度計器具のアナログ・ディジタル変換器を動作さ
せるために用いる参照電圧と同じである。電流源により
発生する電流は、単に温度特性により電流源ごとに僅か
に変化する場合があるため、電流源32は恒温装置10
に配置されて、温度計の動作中に同じレベルの電流が常
にトランジスタ30へと供給されているかを確認するこ
とが好ましい。電流源32が高精度の電流源であり、構
成要素ごとの精度が僅かな許容差内のものであれば、電
流源が恒温装置から離れた位置に設置されてもよい。
【0021】メモリデバイス34は、適切には、CMO
S AT25010 EAROMなどの電子的に変更可
能な読出し専用メモリであってよい。すぐ後で議論され
るように校正されると、恒温装置10は、熱電対の参照
接合点と、電流源32を備えた温度検知トランジスタ3
0と、恒温装置10に固有の恒温データを含むメモリデ
バイス34を含む完全なユニットである。これによっ
て、恒温装置10は、器具内に取り付ける前に校正で
き、また、再度校正することなく、取り替え部品として
使用されたり、または別の温度計器具に移されてもよ
い。
【0022】図2は、温度検知トランジスタ30のベー
ス−エミッタ電圧(Vbe)の例示的電圧対温度の特性曲
線を示す。上述したように、トランジスタ30には比較
的安価な部品が用いられるので、線形特性曲線の勾配
は、トランジスタごとにわずかに変化する場合がある。
したがって、恒温装置10は、2つの異なる温度、もし
くは図2に示された線形の特性曲線の異なる点P1とP2
で温度検知トランジスタVbeを測定することによって直
線の勾配を決定して、本発明の実施形態により校正され
てもよい。
【0023】図2に示す例では、恒温装置10全体が最
初に、例えば、35℃などの第1の所定の温度にされ、
beが測定される。点P1での第1の測定値Vbeが、3
5℃で573.6ミリボルト(mV)に等しいものとす
る。次に、恒温装置10全体が、この例では15℃であ
る第2の所定温度にされ、617.6mVに等しい点P
2での値Vbeが、15℃で測定される。ここで、点P1
2での校正データが既知のもので、これらの点をつな
ぐ直線の勾配は、−2.2mV/℃と容易に求めること
ができる。例えば、23℃などの中間温度の電圧は、6
00mVに等しいものである。この例から、点P1とP2
での電圧と温度の値は、校正データとしてメモリデバイ
スに格納されてもよく、または既知の単一の点と直線の
勾配が校正データとして格納されてもよい。好適な実施
形態では、格納された校正データは、この例では、23
℃などの既知の中間温度で測定された電圧Vbeと、−
2.2mV/℃などの直線の勾配である。この校正デー
タから、動的動作範囲において温度検知トランジスタ3
0で発生した任意の電圧Vbeを、参照接合点の温度に直
接即座に移行させてもよい。
【0024】代替実施形態として、ある程度の精度で線
形の電圧対温度Vbe曲線の勾配がメーカにより既知また
は固有のものである温度検知トランジスタが用いられる
場合、例えば、23℃などの所定の温度でVbeを測定
することによって、既知の線形の特性曲線上にある1点
が求められ、メモリデバイス34に校正データとして既
知の勾配情報とともに格納される。
【0025】しかしながら、好適な実施形態による上述
したような2点で校正を求める方法を用いると、すぐれ
たまたは高価な部品が必要なくなる。
【0026】図3には、図1を参照して記載したよう
に、校正済恒温装置10を有する代表的な熱電対温度計
50の概略図が示されている。温度計50内にはまた、
処理回路54と、キーパッド56と、ディスプレイ58
が含まれている。処理回路54は、従来のディジタル電
圧計の処理回路を含むものであってよく、適切には、ア
ナログ・ディジタル変換器とマイクロプロセッサを含む
ものであってよい。また、処理回路54には、温度計で
使用される各熱電対の型に対して測定される温度を求め
るための曲線近似アルゴリズムを含む従来の参照用テー
ブルまたはファームウェアであってよい。キーパッド5
6により、熱電対の型と、温度システムと、動作モード
と、測定コマンドとをユーザーが選択できる。ディスプ
レイ58は、適切には、英数字で測定温度を表示するた
めの液晶(LCD)装置であってよい。
【0027】恒温装置10は、一対の導電性コンタクト
パッド60と62を含み、それらの間には温度検知トラ
ンジスタが装着されている。一対のブレード70と72
を有するプラグ68付きの典型的な熱電対66が、熱電
対66と温度計50の関係を理解しやすいようにするた
めに、恒温装置に接続した位置で示されている。熱電対
ブレード70と72と共にそれぞれ接触パッド60と6
2は、図1と組み合わせて記載したように、参照接合点
16−18を形成して熱電対66を完全なものにするこ
とで、測定接合点74の温度が温度計50により測定可
能となる。当業者に公知のように、恒温装置のすべての
点は、それが参照接合点であることから、コンタクト6
0と62と、さらには温度検知トランジスタ30がすべ
て同じ温度であることを確認する。
【0028】動作中、処理回路54は、恒温装置10か
らベース−エミッタ電圧Vbeの値を得て、この値を用い
て参照接合点温度を求め、この温度は、従来の参照用テ
ーブルか数学的アルゴリズムにより所与の熱電対の型の
測定接合点74での温度Tを最終的に求めるために用い
られる。温度Tの測定値は、ディスプレイ装置58で表
示される。
【0029】恒温装置10は、図4により詳細に示され
る。恒温ブロック80が、恒温装置の基材であり、上面
の絶縁層82を含む特殊なプリント回路基板上に組み立
てられ、さらに底面の絶縁層84と、銅やアルミニウム
などの熱伝導特性が優れた金属板86を含むものであっ
てよい。絶縁層82と84は、例えば、0.254〜
0.381mm(0.010〜0.015インチ)と比
較的薄いが、金属板86は約1.016〜3.175m
m(約0.040〜0.125インチ)と比較的厚く、
十分な熱量と良好な熱伝導性を確保する。一対の導電性
パッド60と62は、図3に示すような熱電対プラグ6
8のブレード70と72との摺動係合のために、恒温ブ
ロック80の上層82の表面上に並んで配設されてい
る。温度検知トランジスタ30は、導電性パッド60と
62との間に、恒温ブロック10の上層82の表面上に
装着される。金属板86が優れた熱伝導特性をもつため
に、導電パッド60と62は、その大量の熱量により同
じ温度に維持される。同様に、温度検知トランジスタ3
0も、前述したように、熱電対の仮想参照接合点を形成
する導電性パッド60と62の温度で維持される。ま
た、他に上層82の表面上に装着されているものは、電
流源32と、前述したように校正データを含むメモリデ
バイス34である。明瞭さを維持するためにも、電子部
品同士を相互接続しかつ温度器具50内の他の回路へ相
互接続する回路配線やコネクタのすべては図示していな
い。前述した記載から、必要な熱量を与えるために大型
のセラミック部品を用いる必要がなく、非常に小型の恒
温ブロックを組み立てることができることが理解できよ
う。
【0030】上層82の表面上には、熱可塑性材料から
成型されたハウジング90が装着され、導電性パッド6
0と62および温度検知トランジスタ30を部分的に囲
うことで、恒温ブロック80上の安定した周囲温度を維
持しやすくしている。ハウジング90は、熱電対66の
差込み領域を設けるように、温度計器具50のケースの
外側の一部と一体に成型してもよい。一対のスロット9
2と94がそれぞれ、熱電対プラグ68のブレード70
と72を受け入れる。一対のばねクリップ96と98が
それぞれ、熱電対がまったく差し込まれない場合にコン
タクトパッド60と62と接触させる自由端を有し、熱
電対が差し込まれた場合にブレード70と72との係合
を摺動させる。この構造により、恒温装置の熱的完全性
を維持しやすくなり、さらに熱電対が差し込まれると
き、ブレード70と72および恒温ブロック80の温度
を急速に安定化しやすくなる。これらの要素の関係が、
図5の恒温装置の部分的断面図に示されており、この図
には、コンタクトパッド60と接触し、ばねクリップ9
6の張力で適所にあるブレード70が示されている。
【0031】前述したように、メモリデバイス34は、
温度検知トランジスタ30の校正データを保持する。恒
温装置10の校正は、前述したように、恒温装置を、例
えば35℃などの第1の所定の温度にすることによって
達成されてもよい。恒温装置の熱伝導率は良好であるた
め、導電性パッド60と62および温度検知トランジス
タ30はすべて即座に同じ温度になる。その温度の値V
beが測定される。次いで、恒温装置は、15℃などの第
2の既知の温度にされ、新しい温度に安定するとすぐ
に、温度検知トランジスタ30の第2の値Vbeが測定さ
れる。
【0032】恒温ブロック80などの電子装置を校正用
に氷浴につけることができないため、好適な実施形態に
よる校正は、熱の空気コラムを用いて実行され、このコ
ラムの中には、同時にいくつかの装置を置いてもよく、
既知の温度の空気が装置全体に流れて、これらの装置を
所望の温度にする。本発明の恒温ブロックは、市販され
ているSauders & Associatesの4220A Test Chamberと22
55 Controllerを用いて校正される。このユニットを用
いると、36個までの恒温ブロックが同時に校正でき
る。校正ブロックは、ドラム状に装着されて、ドラムが
回転するにつれてコントローラの電子装置が互いに接触
できる。温度をかけて電圧を測定している間、恒温ブロ
ックのドラムを含む熱コラムは、周囲の空気温度に対し
て密封される。温度がある所与の量の温度制御された空
気を与えて安定化すると、ユニットのコントローラ部
は、各温度検知トランジスタ30の出力電圧Vbeを読み
取る。図2と組み合わせて記載したように、校正データ
が得られ、各温度検知トランジスタ30に対応するそれ
ぞれのメモリデバイス34に格納される。このような市
販の器具を用いて行う全校正処理は完全に自動化され、
36個の恒温ブロック校正データを格納する2点処理に
かかる時間は約25分間であり、このほとんどの時間
は、温度の安定化にかかる待機時間である。
【0033】前述したように、校正データは、単一の電
圧Vbeとベース−エミッタ電圧の線形特性曲線の既知
の勾配からなるものか、または線形特徴曲線が温度の処
理回路により再構築されるような2点で得られる電圧お
よび温度であってよい。また、前述したように、好適な
実施形態は、既知の中間温度に対応する電圧Vbeと、校
正データとして2点の測定から求めた勾配を利用する。
【0034】校正された恒温装置が器具内に取り付けら
れ、この器具が動作し始めると、温度検知トランジスタ
30は、器具に特有の動作温度範囲において恒温装置1
0の温度を観測する。熱電対66が取り付けられて温度
が測定されるとき、処理回路54は、トランジスタ30
beの新しい値を測定し、メモリデバイス34に格納さ
れた校正データを用いて恒温ブロックの温度、すなわち
仮想参照接合点の温度を求める。この情報が導き出され
ると、熱電対66の先端74で測定される実際の温度
は、従来の方法で決定されてもよい。
【0035】
【発明の効果】前述した記載から、後工程として時間の
かかる温度校正ステップを行う必要がないように温度計
を組み立て可能な小型の校正済恒温装置を熱電対温度計
が備えることが理解される。さらに、温度計が2個から
なる温度測定器具であれば、単一の恒温ブロックが組み
立て可能であり、2つの熱電対プラグを収容して、参照
接合点を同じ温度に維持する。
【0036】本発明の好適な実施形態を示し記載してき
たが、より広範囲の点で本発明から逸脱することなく多
様に変更および修正してもよいことは当業者には明らか
なことであろう。したがって、添付の請求の範囲が本発
明の本来の範囲内においてこのようなすべての変更およ
び修正を含むものであると理解されたい。
【図面の簡単な説明】
【図1】本発明を理解しやすくするための、校正済恒温
装置の略図である。
【図2】温度検知トランジスタの例示的な線形の電圧対
温度である。
【図3】本発明による校正済恒温装置を有する代表的な
熱電対温度計の概略図である。
【図4】本発明による校正済恒温装置を示す図である。
【図5】熱電対を差し込んだ状態にある恒温装置の部分
的断面図である。
【符号の説明】
10 校正済恒温装置 12 熱電対 14 電圧計 16,18 接合点 20,22,26,28 ワイヤ 24 測定接合点 30 温度検知トランジスタ 32 電流源 34 メモリデバイス 50 熱電対温度計 54 処理回路 56 キーパッド 58 ディスプレイ 60,62 導電性コンタクトパッド 66 熱電対 68 プラグ 70,72 ブレード 74 測定接合点 80 恒温ブロック 82 上面絶縁層 84 底面絶縁層 86 金属板 90 ハウジング 92,94 スロット 96,98 ばねクリップ
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジョナサン・ジェイ・パール アメリカ合衆国、98155 ワシントン州、 シアトル、フォーティシックスス・プレイ ス・エヌ・イー、20331 (72)発明者 モンテ・アール・ウォッシュバーン アメリカ合衆国、98012 ワシントン州、 ボセル、ワンハンドレッドアンドフィフテ ィシックスス・プレイス・エス・ダブリ ュ、6

Claims (12)

    【特許請求の範囲】
  1. 【請求項1】 高い熱伝導特性を有する基材と、 前記基材上に配設され、熱電対の仮想参照接合点を形成
    する一対の電気コンタクトと、 前記一対の電気コンタクトに近い位置の前記基材上に装
    着された温度センサと、 前記基材上に装着され、前記温度センサに特有の校正デ
    ータを含むメモリデバイスとを備える、熱電対温度計の
    校正済恒温装置。
  2. 【請求項2】 前記基材上に装着された前記温度センサ
    用に電流源をさらに備える、請求項1記載の校正済恒温
    装置。
  3. 【請求項3】 前記基材上に装着され、前記一対の電気
    コンタクト上に延びるハウジングをさらに備える、請求
    項1記載の校正済恒温装置。
  4. 【請求項4】 前記基材は、絶縁層が上部に配設された
    金属板を備えて、前記一対の電気コンタクトを前記金属
    板から絶縁することによって、恒温ブロックを規定す
    る、請求項1記載の校正済恒温装置。
  5. 【請求項5】 前記金属板が銅からなる請求項4記載の
    校正済恒温装置。
  6. 【請求項6】 前記金属板の厚みが少なくとも1.01
    6mm(0.040インチ)である、請求項4記載の校
    正済恒温装置。
  7. 【請求項7】 前記校正データが、少なくとも1つの所
    定の温度に対応するセンサ電圧の少なくとも1つの値で
    ある、請求項1記載の校正済恒温装置。
  8. 【請求項8】 特殊なプリント回路基板を形成する少な
    くとも1つの絶縁層が上部に配設された金属板と、 前記少なくとも1つの絶縁層の表面上に並んで配設され
    た一対の導電性パッドと、 前記導電性パッドのすぐ近くに前記表面上に配設された
    温度センサと、 前記少なくとも1つの絶縁層の表面上に配設され、前記
    温度センサの校正データを含むメモリデバイスとを備え
    る、小型恒温装置。
  9. 【請求項9】 前記金属板の厚みが少なくとも1.01
    6mm(0.040インチ)である、請求項8記載の小
    型恒温装置。
  10. 【請求項10】 前記金属板が銅である、請求項8記載
    の小型恒温装置。
  11. 【請求項11】 前記表面に装着され、前記導電性パッ
    ドと前記温度センサを少なくとも部分的に囲むハウジン
    グをさらに備える、請求項8記載の小型恒温装置。
  12. 【請求項12】 一対のばねクリップが、前記ハウジン
    グに取り付けられ、それぞれが各導電性パッドに隣接し
    て配設された自由端を有する、請求項11記載の小型恒
    温装置。
JP2000288290A 1999-09-24 2000-09-22 熱電対温度計の校正済恒温装置 Pending JP2001116630A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/404921 1999-09-24
US09/404,921 US6293700B1 (en) 1999-09-24 1999-09-24 Calibrated isothermal assembly for a thermocouple thermometer

Publications (1)

Publication Number Publication Date
JP2001116630A true JP2001116630A (ja) 2001-04-27

Family

ID=23601580

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000288290A Pending JP2001116630A (ja) 1999-09-24 2000-09-22 熱電対温度計の校正済恒温装置

Country Status (7)

Country Link
US (1) US6293700B1 (ja)
EP (1) EP1087217B2 (ja)
JP (1) JP2001116630A (ja)
KR (1) KR100400651B1 (ja)
CN (1) CN1192223C (ja)
DE (1) DE60041310D1 (ja)
TW (1) TW495606B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007527990A (ja) * 2003-06-27 2007-10-04 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 温度較正の方法および構成
JP2020148530A (ja) * 2019-03-12 2020-09-17 オムロン株式会社 端子台および組合せ機器

Families Citing this family (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2427832C (en) * 2000-11-06 2008-01-08 Frederick J. Buja Method and apparatus for controlling a mold melt-flow process using temperature sensors
US6694181B2 (en) * 2001-02-12 2004-02-17 Scimed Life Systems, Inc. Methods and devices for detecting vulnerable plaque
US6501384B2 (en) * 2001-04-12 2002-12-31 Solar Wide Industrial Ltd. Electronic candy and oil thermometer
US20040247015A1 (en) * 2003-03-19 2004-12-09 Wojan Scott A. Beverage and food temperature notification device
GB2405476B (en) * 2003-08-27 2006-07-19 Gen Electric Method, system and apparatus for measuring temperature with cold junction compensation
US6948846B2 (en) * 2003-10-23 2005-09-27 Eaton Corporation Test apparatus for power circuits of an electrical distribution device
CA2566967A1 (en) * 2004-05-19 2005-12-01 Bed-Check Corporation Silk-screen thermocouple
US20100245090A1 (en) * 2004-05-19 2010-09-30 Bed-Check Corporation Patient thermal monitoring system
US7617988B2 (en) * 2004-07-09 2009-11-17 International Controls And Measurement Corp. Intrusion barrier and thermal insulator for thermostat
US7234864B2 (en) * 2004-09-30 2007-06-26 Rockwell Automation Technologies, Inc. Measurement of multi-channel cold junction temperature
US7828773B2 (en) 2005-07-11 2010-11-09 Covidien Ag Safety reset key and needle assembly
US7850650B2 (en) 2005-07-11 2010-12-14 Covidien Ag Needle safety shield with reset
US7905857B2 (en) 2005-07-11 2011-03-15 Covidien Ag Needle assembly including obturator with safety reset
US7585166B2 (en) * 2005-05-02 2009-09-08 Buja Frederick J System for monitoring temperature and pressure during a molding process
US20060276772A1 (en) * 2005-06-06 2006-12-07 Sherwood Services Ag Bayonet release of safety shield for needle tip
US20060276747A1 (en) * 2005-06-06 2006-12-07 Sherwood Services Ag Needle assembly with removable depth stop
US7731692B2 (en) 2005-07-11 2010-06-08 Covidien Ag Device for shielding a sharp tip of a cannula and method of using the same
US7316507B2 (en) 2005-11-03 2008-01-08 Covidien Ag Electronic thermometer with flex circuit location
US20070100253A1 (en) * 2005-11-03 2007-05-03 Sherwood Services Ag Electronic thermometer with sensor location
US7654735B2 (en) * 2005-11-03 2010-02-02 Covidien Ag Electronic thermometer
WO2008022122A2 (en) 2006-08-14 2008-02-21 Buja Frederick J System and method employing a thermocouple junction for monitoring of physiological parameters
US7749170B2 (en) * 2007-05-22 2010-07-06 Tyco Healthcare Group Lp Multiple configurable electronic thermometer
ES2693097T3 (es) 2007-05-30 2018-12-07 Ascensia Diabetes Care Holdings Ag Sistema y método para gestionar datos de salud
TWI381154B (zh) * 2007-07-13 2013-01-01 Hon Hai Prec Ind Co Ltd 熱電偶溫度採集系統及方法
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US8702306B2 (en) * 2007-09-21 2014-04-22 Siemens Industry, Inc. Systems, devices, and/or methods for managing a thermocouple module
US8357104B2 (en) 2007-11-01 2013-01-22 Coviden Lp Active stylet safety shield
US8496377B2 (en) 2007-12-31 2013-07-30 Covidien Lp Thermometer having molded probe component
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
DE102009023351A1 (de) 2009-05-29 2010-12-02 Osram Opto Semiconductors Gmbh Optoelektronischer Halbleiterchip und Verfahren zur Herstellung eines optoelektronischen Halbleiterchips
US8617381B2 (en) 2009-06-23 2013-12-31 Bayer Healthcare Llc System and apparatus for determining temperatures in a fluid analyte system
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE202009011860U1 (de) * 2009-09-02 2010-03-04 Türk & Hillinger GmbH Hochtemperaturstecker
CN101718599B (zh) * 2009-12-23 2012-07-11 北京航空航天大学 刀具-工件自然热电偶标定方法及其装置
US8986205B2 (en) 2010-05-14 2015-03-24 Frederick J. Buja Sensor for measurement of temperature and pressure for a cyclic process
US8411442B2 (en) * 2010-09-09 2013-04-02 Texas Instruments Incorporated Vias in substrate between IC seat and peripheral thermal cage
US20120236904A1 (en) * 2011-03-14 2012-09-20 Brian Mark Burmaster Direct thermocouple measurement without a reference junction
CN102252770B (zh) * 2011-04-29 2013-09-11 中冶赛迪工程技术股份有限公司 一种测温补偿方法及修正型高精度温度计
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9702566B2 (en) * 2014-01-28 2017-07-11 Illinois Tool Works Inc. Cooking exhaust hood ventilation system and related methods
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP2016033973A (ja) * 2014-07-31 2016-03-10 アイシン・エィ・ダブリュ株式会社 電力変換装置の制御基板
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3070446B1 (en) 2015-03-18 2019-02-13 ENDRESS + HAUSER WETZER GmbH + Co. KG Thermo wire testing circuit and method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
FR3043200B1 (fr) * 2015-10-22 2017-12-22 Valeo Systemes De Controle Moteur Capteur de temperature pour vehicule automobile comprenant un thermocouple et son procede de fabrication
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10123460B2 (en) * 2015-11-13 2018-11-06 Covidien LLP System and method for thermal management of electronic devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
JP6905584B2 (ja) * 2017-04-03 2021-07-21 シャープ株式会社 電子機器
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10978896B2 (en) 2017-06-23 2021-04-13 Dell Products L.P. High efficiency power storage adapter
US10928880B2 (en) 2017-06-23 2021-02-23 Dell Products L.P. Power storage adapter for communicating battery data with a portable information handling system
US10381844B2 (en) 2017-06-23 2019-08-13 Dell Products L.P. Sourcing power from a battery or AC-DC converter of a power storage adapter
US10452102B2 (en) 2017-06-23 2019-10-22 Dell Products L.P. Power delivery contract establishment in a power storage adapter
US10389154B2 (en) 2017-06-23 2019-08-20 Dell Products L.P. Power storage adapter using a high efficiency charging method
US10476288B2 (en) 2017-06-23 2019-11-12 Dell Products L.P. Power storage adapter for peak shift operation with a portable information handling system
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10608443B2 (en) 2017-08-15 2020-03-31 Dell Products L.P. Battery management using battery temperature distribution
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10642333B2 (en) 2017-08-24 2020-05-05 Dell Products L.P. Power storage adapter for efficient supply of power of multiple portable information handling systems
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10620679B2 (en) 2017-09-01 2020-04-14 Dell Products L.P. Prioritizing supplying electrical power by a power storage adapter to connected devices
US10673271B2 (en) 2017-09-01 2020-06-02 Dell Products L.P. Efficient charging of multiple portable information handling systems based on learned charging characteristics
US10404105B2 (en) 2017-09-14 2019-09-03 Dell Products L.P. Power storage adapter for wireless power transmission
US10714797B2 (en) 2017-09-18 2020-07-14 Dell Products L.P. Multilayer thermal laminate with aerogel for battery cell enclosures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11513928B2 (en) 2017-09-18 2022-11-29 Dell Products L.P. Power storage adapter with power cable validation
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10488906B2 (en) 2017-09-26 2019-11-26 Dell Products L.P. Power delivery based on temperature and other factors in a power storage adapter
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10278279B1 (en) * 2017-10-30 2019-04-30 Dell Products L.P. Transformer thermal radiator for power field effect transistors
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7036000B2 (ja) * 2018-12-27 2022-03-15 株式会社豊田自動織機 電子装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP6707214B1 (ja) 2019-06-17 2020-06-10 三菱電機株式会社 温度入力ユニット、温度測定装置、及びプログラム
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112345101A (zh) * 2020-10-29 2021-02-09 上海卫星装备研究所 在真空室内置浮动参考端的温度测量系统及方法
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4133700A (en) * 1975-03-13 1979-01-09 Omega Engineering Inc. Cold junction thermocouple compensator
US4130019A (en) * 1977-06-09 1978-12-19 Nitschke John Stephen Self-compensating thermocouple reading circuit
US4157663A (en) * 1978-04-25 1979-06-12 The Boeing Company Automatic thermocouple reference junction compensator
US4403296A (en) * 1980-12-18 1983-09-06 Electromedics, Inc. Measuring and determination device for calculating an output determination based on a mathematical relationship between multiple different input responsive transducers
US4482261A (en) * 1982-07-15 1984-11-13 Owens-Corning Fiberglas Corporation Method for simultaneous reference junction compensation of a plurality of thermocouples
DE3424288A1 (de) * 1984-07-02 1986-01-23 Heinz-Ulrich 5800 Hagen Wigger Anordnung und verfahren zur digitalen fehlerkompensation in eingangsschaltungen
US4718777A (en) 1986-02-28 1988-01-12 John Fluke Mfg. Co., Inc. Isothermal block for temperature measurement system using a thermocouple
US4776706A (en) * 1987-08-10 1988-10-11 Thermo Electric Instruments Universal connector and compensating terminal apparatus for temperature responsive instruments
US5161893A (en) * 1987-10-13 1992-11-10 Respiratory Support Products, Inc. Temperature measurement
US4936690A (en) * 1989-05-31 1990-06-26 Rosemount Inc. Thermocouple transmitter with cold junction compensation
US5090918A (en) 1990-05-31 1992-02-25 John Fluke Mfg. Co., Inc. Isothermal termination block having a multi-layer thermal conductor
FI87494C (fi) * 1991-03-19 1993-01-11 Beamex Ab Oy Jaemfoerelsekoppling foer termoparmaetning
US5167519A (en) * 1991-11-12 1992-12-01 Transmation, Inc. Temperature compensating universal connector
FR2687782B1 (fr) * 1992-02-21 1994-04-29 Sadis Bruker Spectrospin Dispositif de compensation de jonction froide pour thermocouple.
US5492482A (en) 1994-06-07 1996-02-20 Fluke Corporation Compact thermocouple connector
US5669713A (en) * 1994-09-27 1997-09-23 Rosemount Inc. Calibration of process control temperature transmitter
US6068400A (en) * 1998-02-27 2000-05-30 Tektronix, Inc. Temperature compensated adapter for a DMM

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007527990A (ja) * 2003-06-27 2007-10-04 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 温度較正の方法および構成
JP2020148530A (ja) * 2019-03-12 2020-09-17 オムロン株式会社 端子台および組合せ機器
JP7120089B2 (ja) 2019-03-12 2022-08-17 オムロン株式会社 組合せ機器

Also Published As

Publication number Publication date
EP1087217A1 (en) 2001-03-28
KR100400651B1 (ko) 2003-10-08
KR20010030481A (ko) 2001-04-16
US6293700B1 (en) 2001-09-25
EP1087217B1 (en) 2009-01-07
DE60041310D1 (de) 2009-02-26
CN1192223C (zh) 2005-03-09
CN1292493A (zh) 2001-04-25
EP1087217B2 (en) 2015-12-23
TW495606B (en) 2002-07-21

Similar Documents

Publication Publication Date Title
JP2001116630A (ja) 熱電対温度計の校正済恒温装置
KR0180068B1 (ko) 콤팩트 열전쌍 커넥터
US7909504B2 (en) Open-loop vertical drywell gradient correction system and method
JPH01254381A (ja) 半田こての温度調整装置
CN103105506B (zh) 检测传感器的热时间常数的风速计
US3738174A (en) Temperature calibration system
US4537516A (en) Electronic thermometer
US5484206A (en) Method and apparatus for sensing a cold junction temperature
KR20190101745A (ko) 온도 측정 장치 및 방법
US3878728A (en) Thermesthesiometer
US3530718A (en) Electric thermometer
Kopp et al. Carbon resistors as low temperature thermometers
JPS60169729A (ja) 感温素子の校正方式および感温素子による温度測定方式
CN115655521A (zh) 一种高精度数字温度传感器批量测试系统及其测试方法
JP3681468B2 (ja) 温度係数補正型温度検出装置
GB2256056A (en) Temperature measuring apparatus.
JPH102807A (ja) 熱電対測定装置
Anderson et al. Characteristics of germanium resistance thermometers from 1 to 35 K and the ISU magnetic temperature scale
CN118392349B (zh) 一种线控器的温度检测装置、线控器及其温度检测方法
RU2727564C1 (ru) Самокалибрующийся датчик температуры
KR900002765B1 (ko) 반도체소자의 열계수 측정시스템
Kanesalingam et al. Study of Temperature Measurement Accuracy by Using Different Mounting Adhesives on Plastics
SU1718081A1 (ru) Устройство дл измерени теплопроводности материалов
RU2157081C2 (ru) Термоэлектрическое полупроводниковое устройство для офтальмотермометрии
JPH02168131A (ja) 恒温槽炉内温度検出器及びその校正方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20031021