JP2000156343A - Mask for x-ray exposure - Google Patents

Mask for x-ray exposure

Info

Publication number
JP2000156343A
JP2000156343A JP17699199A JP17699199A JP2000156343A JP 2000156343 A JP2000156343 A JP 2000156343A JP 17699199 A JP17699199 A JP 17699199A JP 17699199 A JP17699199 A JP 17699199A JP 2000156343 A JP2000156343 A JP 2000156343A
Authority
JP
Japan
Prior art keywords
ray
wavelength
mask
exposure
absorber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP17699199A
Other languages
Japanese (ja)
Other versions
JP3363110B2 (en
Inventor
Zuisen Ezaki
瑞仙 江崎
Kenichi Murooka
賢一 室岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP17699199A priority Critical patent/JP3363110B2/en
Publication of JP2000156343A publication Critical patent/JP2000156343A/en
Application granted granted Critical
Publication of JP3363110B2 publication Critical patent/JP3363110B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To reduce the thickness of the X-ray absorber of a mask for X-ray exposure using synchrotron radiation light as exposed light by selecting the material of the absorber so that the absorber may contribute to improvement of the accuracy of X-ray exposure. SOLUTION: A mask for X-ray exposure is provided with an X-ray mask section in which a pattern composed of an X-ray absorber 5 is formed on a membrane film 6 and a supporting body 7 which supports the mask section. Synchrotron radiation light 4 made incident to the X-ray mask section and having its maximum light intensity at a wavelength of 0.6-1 nm is used as exposed light, and the X-ray absorber 5 is formed to contain such an element that has a density/atomic weight of >=0.085 g/cm3 and an L-shell absorption edge at a wavelength of 0.75-1.6 nm.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体生産などに
用いられるX線露光に好適なX線露光用マスク、このマ
スクの製造方法、このマスクを用いたX線露光方法及び
露光装置、更にはこのマスクを用いたデバイス生産方法
等に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an X-ray exposure mask suitable for X-ray exposure used in semiconductor production and the like, a method of manufacturing the mask, an X-ray exposure method and an exposure apparatus using the mask, and furthermore, The present invention relates to a device production method and the like using the mask.

【0002】[0002]

【従来の技術】近年、半導体集積回路の微細化に伴い、
より波長の短いX線を用い、マスクとウェハ基板を近接
させマスクパターンをウェハ基板上に露光転写する等倍
X線露光法が提案されている。
2. Description of the Related Art In recent years, with the miniaturization of semiconductor integrated circuits,
An equal-size X-ray exposure method has been proposed in which an X-ray having a shorter wavelength is used to bring a mask and a wafer substrate close to each other and expose and transfer a mask pattern onto the wafer substrate.

【0003】等倍X線露光は、図6に示すように、マス
クパターンが描かれているX線露光用マスク1を通して
X線4を被加工基板3上に照射し、被加工基板3上のX
線レジスト2にマスクパターンを転写するものである。
マスク1は、X線が透過し易い窒化珪素,炭化珪素,珪
素,ダイヤモンド等の軽元素からなる1〜5μm厚のメ
ンブレン(支持膜)6と、X線を遮蔽するX線吸収体パ
ターン5’を形成した構造となっており、マスク1に入
射したX線4のうち吸収体のない部分に入射したものの
みがマスク1を透過してレジスト2の面に到達し、パタ
ーンが転写されることとなる。X線吸収体材料として
は、従来よりW,Ta,Au等の重金属が用いられてき
た。それ以外のX線吸収体材料としては、Fe,Co,
Ni,Cu,Zn,Nb,Mo,Pd,Ag,Pt及び
これらの合金が提案されている。
As shown in FIG. 6, the X-ray exposure is performed by irradiating an X-ray 4 onto a substrate 3 through an X-ray exposure mask 1 on which a mask pattern is drawn. X
The mask pattern is transferred to the line resist 2.
The mask 1 has a membrane (supporting film) 6 of a light element such as silicon nitride, silicon carbide, silicon, or diamond having a thickness of 1 to 5 μm that easily transmits X-rays, and an X-ray absorber pattern 5 ′ for shielding X-rays. And only the X-rays 4 incident on the mask 1 that have entered the portion without the absorber penetrate the mask 1 and reach the surface of the resist 2 so that the pattern is transferred. Becomes Conventionally, heavy metals such as W, Ta, and Au have been used as X-ray absorber materials. Other X-ray absorber materials include Fe, Co,
Ni, Cu, Zn, Nb, Mo, Pd, Ag, Pt and alloys thereof have been proposed.

【0004】これらのX線露光用マスクに用いるX線吸
収体材料の従来例では、特定の単一波長光源を露光に用
いたときのみの効果について検討されているが、シンク
ロトロン放射光のような波長域の比較的広い光源を用い
たときの吸収体の吸収、マスクコントラストや位相特
性、また好適なシンクロトロン光源の波長域や強度プロ
ファイルの設定については考慮されていない。例えば、
特開平5−13309号公報では、Co,Ni,Cu,
Zn及びこれらの合金を波長1〜1.5nmのX線で露
光するための吸収体材料として提案しているが、この波
長域の中心である特定の単一波長1.225nmにおけ
るCo,Ni,Cu,Znの吸収、マスクコントラスト
10のときの吸収体膜厚を示しているのみであり、波長
1〜1.5nmの広い波長域にわたるシンクロトロン放
射光を用いて露光を行ったときに得られる吸収特性やマ
スクコントラスト、それらの吸収体材料を用いて、実際
にX線露光転写する際における露光波長の最適化につい
ては全く考慮されていない。
In the conventional examples of the X-ray absorber material used for these X-ray exposure masks, the effect only when a specific single wavelength light source is used for exposure has been examined. No consideration is given to absorption of the absorber when a light source having a relatively wide wavelength range is used, mask contrast and phase characteristics, and setting of a suitable wavelength range and intensity profile of a synchrotron light source. For example,
JP-A-5-13309 discloses that Co, Ni, Cu,
Zn and their alloys have been proposed as absorber materials for exposure to X-rays at wavelengths of 1-1.5 nm, but Co, Ni, at a specific single wavelength of 1.225 nm, which is the center of this wavelength range. It only shows the absorption of Cu and Zn and the thickness of the absorber when the mask contrast is 10, and is obtained when exposure is performed using synchrotron radiation over a wide wavelength range of 1 to 1.5 nm. No consideration is given to the optimization of the exposure wavelength at the time of actual X-ray exposure transfer using the absorption characteristics, the mask contrast, and those absorber materials.

【0005】同様に、別の公知文献、特公平7−955
06号公報では、X線に対する遮蔽性及びイオンエッチ
ングによるエッチングの容易性からFe,Co,Ni,
Cu,Zn,Nb,Mo,Pd,Ag,Ta,W,P
t,Au及びこれらの合金を吸収体に用いて、図7に示
すように、メンブレン6上に吸収体パターン5’を成す
吸収体とは異なる樹脂又は二酸化珪素の透過パターン1
0を有するマスクが提案されているが、露光に用いる光
源の好適な波長の設定や位相シフト効果については何ら
考慮されていない。
Similarly, another known document, Japanese Patent Publication No. 7-955
No. 06 discloses Fe, Co, Ni, and Ni for shielding X-rays and easy etching by ion etching.
Cu, Zn, Nb, Mo, Pd, Ag, Ta, W, P
As shown in FIG. 7, the transmission pattern 1 of resin or silicon dioxide different from the absorber forming the absorber pattern 5 ′ on the membrane 6 using t, Au and their alloys as the absorber.
Although a mask having 0 has been proposed, no consideration is given to the setting of a suitable wavelength of a light source used for exposure and the phase shift effect.

【0006】また、これらの材料を吸収体に用いたX線
露光用マスクの転写時の解像度を向上させる従来例とし
て、位相シフトマスクが提案されているが、例えば米国
特許公報 4,890,309号に示すように特定の単一波長光源
を用いたときの位相シフト効果についてのみ記載し、シ
ンクロトロン放射光のような波長域の比較的広い光源を
用いたときの吸収体の位相特性やそのときに得られる吸
収特性及びマスクコントラスト、また好適なシンクロト
ロン光源の波長域の設定やその波長域に好適な吸収体材
料については考慮されていない。
A phase shift mask has been proposed as a conventional example for improving the resolution at the time of transfer of an X-ray exposure mask using these materials as an absorber. For example, as shown in US Pat. No. 4,890,309. Describes only the phase shift effect when using a specific single-wavelength light source, and obtains the phase characteristics of the absorber when using a light source with a relatively wide wavelength range such as synchrotron radiation, and at that time No consideration is given to the absorption characteristics and mask contrast, the setting of a suitable synchrotron light source wavelength range, and the absorber material suitable for that wavelength range.

【0007】露光装置においても、シンクロトロン放射
光を揺動又は固定X線ミラーによる反射を利用した露光
方法では、露光位置により波長分布に大きな差が生じ、
露光位置によって位相シフト量も大きく異なるという問
題等がある。このため、シンクロトロン放射光に好適な
X線露光用マスクの開発が必要とされる。
[0007] Also in the exposure apparatus, in the exposure method utilizing the oscillation of synchrotron radiation or reflection by a fixed X-ray mirror, a large difference occurs in the wavelength distribution depending on the exposure position.
There is a problem that the amount of phase shift greatly varies depending on the exposure position. Therefore, there is a need to develop an X-ray exposure mask suitable for synchrotron radiation.

【0008】[0008]

【発明が解決しようとする課題】実際の等倍X線露光に
おいて用いる光源の好適な波長域は、転写パターンの解
像性を支配するフレネル回折とX線によりレジスト中で
発生する2次電子飛程により決められる。フレネル回折
では、マスクとウェハとのギャップが狭く、露光波長が
短波長であるほど抑えられ、高い解像性が得られ、一方
2次電子飛程は、短波長のX線を露光に用いたときに大
きくなり、この2次電子による感光によって解像度は劣
化する。従って、この回折効果と2次電子効果の2つの
関係により、用いるX線の露光波長としては、0.6〜
1nmの波長域が解像性の点で優れていることが示され
ており、露光において0.6〜1nmのX線を用いるこ
とが望ましい。
The preferred wavelength range of the light source used in actual 1: 1 X-ray exposure is Fresnel diffraction, which governs the resolution of the transferred pattern, and secondary electron emission generated in the resist by X-rays. It depends on the process. In Fresnel diffraction, the narrower the gap between the mask and the wafer, the shorter the exposure wavelength, the lower the wavelength, the higher the resolution, and the higher the resolution. On the other hand, the secondary electron range uses short-wavelength X-rays for exposure. The resolution sometimes deteriorates due to the exposure by the secondary electrons. Therefore, due to the two relations between the diffraction effect and the secondary electron effect, the exposure wavelength of the X-ray used is 0.6 to
It has been shown that the wavelength range of 1 nm is excellent in resolution, and it is desirable to use X-rays of 0.6 to 1 nm in exposure.

【0009】しかしながら、実際の露光や従来例のX線
露光用マスクにおいては、主に特定の1つの波長におい
て好適な吸収体材料についてのみ想定し、波長域を0.
6〜1nmに持つシンクロトロン放射光に対して好適な
吸収体材料、X線露光用マスクについての検討は行われ
ていない。物質の吸収及び位相特性は、使用するX線波
長に大きく依存するため露光に使用する波長に合わせて
材料を選択する必要があるが、シンクロトロン放射光は
波長域の広い連続スペクトルであり、そのスペクトル特
性により好適な吸収体材料、マスク材料は異なる。
However, in an actual exposure or a conventional X-ray exposure mask, only a suitable absorber material is mainly assumed at one specific wavelength, and the wavelength range is set to 0.1.
No studies have been made on an absorber material and an X-ray exposure mask suitable for synchrotron radiation having a wavelength of 6 to 1 nm. Since the absorption and phase characteristics of a substance greatly depend on the X-ray wavelength used, it is necessary to select a material in accordance with the wavelength used for exposure, but synchrotron radiation is a continuous spectrum with a wide wavelength range. Suitable absorber materials and mask materials differ depending on the spectral characteristics.

【0010】本発明は、上記の事情を考慮して成された
もので、その目的とするところは、マスク部に入射する
光の最大光強度を波長0.6〜1nmに持つシンクロト
ロン放射光を露光光源として用いたX線露光において、
この露光波長域に対して吸収が大きい材料を用いること
により吸収体の薄膜化を可能とし、X線露光における露
光精度の向上等に寄与し得るX線露光用マスクを提供す
ることにある。
The present invention has been made in view of the above circumstances, and an object of the present invention is to provide a synchrotron radiation light having a maximum light intensity of light incident on a mask portion at a wavelength of 0.6 to 1 nm. X-ray exposure using as an exposure light source,
An object of the present invention is to provide a mask for X-ray exposure that enables a thinner absorber by using a material having a large absorption in the exposure wavelength range and can contribute to improvement of exposure accuracy in X-ray exposure.

【0011】また、本発明の他の目的は、マスク部に入
射する光の最大光強度を波長0.6〜1nmに持つシン
クロトロン放射光を露光光源として用いたX線露光にお
いて、位相シフト量が制御された材料を用いることによ
り転写パターンの解像性の向上を可能にし、X線露光に
おける露光精度の向上等に寄与し得るX線露光用マスク
を提供することにある。
Another object of the present invention is to provide a phase shift amount in X-ray exposure using synchrotron radiation having a maximum light intensity of 0.6-1 nm in wavelength of light incident on a mask portion as an exposure light source. An object of the present invention is to provide a mask for X-ray exposure that enables the resolution of a transfer pattern to be improved by using a material in which X is controlled, thereby contributing to an improvement in exposure accuracy in X-ray exposure.

【0012】また、本発明の他の目的は、上記のX線露
光用マスクを簡易に製造することのできるX線露光用マ
スクの製造方法を提供することにある。
Another object of the present invention is to provide a method of manufacturing an X-ray exposure mask which can easily manufacture the above-described X-ray exposure mask.

【0013】また、本発明の他の目的は、上記のX線露
光用マスクを用いて良好なX線露光を行うことのできる
パターン露光方法、パターン露光装置、半導体デバイス
生産方法等を提供することにある。
Another object of the present invention is to provide a pattern exposure method, a pattern exposure apparatus, a semiconductor device production method, and the like which can perform good X-ray exposure using the above-mentioned X-ray exposure mask. It is in.

【0014】[0014]

【課題を解決するための手段】(構成)上記課題を解決
するために本発明は、次のような構成を採用している。
(Structure) In order to solve the above-mentioned problem, the present invention employs the following structure.

【0015】(1-1) メンブレン膜上にX線吸収体からな
るパターンが形成されたX線マスク部と、このX線マス
ク部を支持する支持体とを備えたX線露光用マスクにお
いて、前記X線マスク部に入射する光の最大光強度を波
長0.6〜1nmに持つシンクロトロン放射光を露光光
源として用い、前記X線吸収体材料は、密度/原子量が
0.085[g/cm3 ]以上であり、且つL殻吸収端を
波長0.75〜1.6nmに持つ元素、又は密度/原子
量が0.04[g/cm3 ]以上であり、且つM殻吸収端
を波長0.75〜1.6nmに持つ元素を含むことを特
徴とする。
(1-1) An X-ray exposure mask comprising: an X-ray mask having a pattern formed of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask. The synchrotron radiation having the maximum light intensity of the light incident on the X-ray mask portion at a wavelength of 0.6 to 1 nm is used as an exposure light source, and the X-ray absorber material has a density / atomic weight of 0.085 [g / cm 3 ] or more, and an element having an L-shell absorption edge at a wavelength of 0.75 to 1.6 nm, or a density / atomic weight of 0.04 [g / cm 3 ] or more, and an M-shell absorption edge having a wavelength It contains an element having a wavelength of 0.75 to 1.6 nm.

【0016】(1-2) X線吸収体材料は、単体元素,合
金,又は積層膜であること。 (1-3) X線吸収体材料において、密度/原子量が0.0
85[g/cm3 ]以上で且つL殻吸収端を波長0.75
〜1.6nmに持つ単体元素はCo,Ni,Cu,Z
n,Gaであり、密度/原子量が0.040[g/cm
3 ]以上で且つM殻吸収端を波長0.75〜1.6nm
に持つ単体元素は原子番号57〜71のランタノイド系
希土類元素(La〜Lu)であること。
(1-2) The X-ray absorber material is a single element, an alloy, or a laminated film. (1-3) In the X-ray absorber material, the density / atomic weight is 0.0
85 [g / cm 3 ] or more and L-shell absorption edge at a wavelength of 0.75
Elemental elements having a wavelength of ~ 1.6 nm are Co, Ni, Cu, Z
n, Ga, and a density / atomic weight of 0.040 [g / cm]
3 ] and M-shell absorption edge at a wavelength of 0.75 to 1.6 nm
Is a lanthanoid rare earth element (La to Lu) having an atomic number of 57 to 71.

【0017】(2) X線吸収体材料は、Co,Ni,C
u,Zn,Ga,La,Ce,Pr,Nd,Pm,S
m,Eu,Gd,Tb,Dy,Ho,Er,Tm,Yb
の何れかの少なくとも1つの元素を含むこと。
(2) The X-ray absorber material is Co, Ni, C
u, Zn, Ga, La, Ce, Pr, Nd, Pm, S
m, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb
Contains at least one element of

【0018】(3-1) メンブレン膜上にX線吸収体からな
るパターンが形成されたX線マスク部と、このX線マス
ク部を支持する支持体とを備えたX線露光用マスクにお
いて、前記X線マスク部に入射する光の最大光強度を
0.6〜1nmに持つシンクロトロン放射光を露光光源
として用い、前記X線吸収体は、L殻吸収端或いはM殻
吸収端を波長0.75〜1.6nmに持つ単体元素の何
れかからなる第1の材料と、M殻吸収端を波長0.5〜
0.75nmに持つ単体元素の何れからなる第2の材料
と、の合金又は積層膜であることを特徴とする。
(3-1) An X-ray exposure mask comprising: an X-ray mask having a pattern of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask. Synchrotron radiation having a maximum light intensity of 0.6 to 1 nm of light incident on the X-ray mask portion is used as an exposure light source, and the X-ray absorber has an L-shell absorption edge or an M-shell absorption edge having a wavelength of 0. A first material made of any of the elemental elements having a wavelength of 0.5 to 1.5 nm,
It is characterized by being an alloy or a laminated film of any of the single elements having a wavelength of 0.75 nm.

【0019】(3-2) 第1の材料としてCo,Ni,C
u,Zn,Ga、原子番号57〜71のランタノイド系
希土類元素(La〜Lu)の何れかの元素を用い、第2
の材料として原子番号72〜80(Hf〜Hg)の何れ
かの元素を用いること。
(3-2) Co, Ni, C as the first material
u, Zn, Ga, any one of lanthanoid rare earth elements (La to Lu) having an atomic number of 57 to 71,
Using any element of atomic numbers 72 to 80 (Hf to Hg) as the material of

【0020】(4-1) メンブレン膜上にX線吸収体からな
るパターンが形成されたX線マスク部と、このX線マス
ク部を支持する支持体とを備えたX線露光用マスクにお
いて、前記X線吸収体として、全てのL殻及びM殻吸収
端が、露光光源であるシンクロトロン放射光の(光強度
として前記X線マスク部に入射する最大光強度の波長に
おける光強度の1/10以上の強度を持つ波長域を露光
波長域とする)露光波長域の最短波長以下、或いは露光
波長の最長波長以上の領域である元素を主成分とする材
料を用いることを特徴とする。
(4-1) An X-ray exposure mask comprising: an X-ray mask having a pattern formed of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask. As the X-ray absorber, all of the L-shell and M-shell absorption edges have the synchrotron radiation light as the exposure light source (as the light intensity, 1 / (1) of the light intensity at the wavelength of the maximum light intensity incident on the X-ray mask portion) (A wavelength region having an intensity of 10 or more is defined as an exposure wavelength region.) A material having an element as a main component which is equal to or shorter than the shortest wavelength of the exposure wavelength region or equal to or longer than the longest wavelength of the exposure wavelength is used.

【0021】(4-2) 吸収体は、単体元素、或いは原子番
号31のGa(31)、或いはそれらの合金、或いは積
層膜であること。
(4-2) The absorber is a single element, Ga (31) having an atomic number of 31, or an alloy thereof, or a laminated film.

【0022】(4-3) 吸収体に用いられる元素は、原子番
号27〜30のCo(27)〜Zn(30)或いはGa
(31)、原子番号45〜47のRh(45)〜Ag
(47)、原子番号57〜63のLa(57)〜Eu
(63)、原子番号76〜80のOs(76)〜Hg
(80)、原子番号85〜87のAt(85)〜Fr
(87)、原子番号89〜92のAc(89)〜U(9
2)の何れかであること。
(4-3) The element used for the absorber is Co (27) -Zn (30) with atomic number 27-30 or Ga
(31), Rh (45) to Ag of atomic numbers 45 to 47
(47), La (57) -Eu of atomic numbers 57-63
(63), Os (76) -Hg of atomic numbers 76-80
(80), At (85) to Fr of atomic numbers 85 to 87
(87), Ac (89) to U (9) having atomic numbers 89 to 92.
It must be one of 2).

【0023】(5) X線吸収体材料は、Ti,V,Cr,
Mn,Fe,Co,Ni,Cu,Zn,Nb,Mo,T
c,Ru,Rh,Pd,Ag,La,Ce,Pr,N
d,Pm,Sm,Eu,Gdの何れかの少なくとも1つ
の元素を含むこと。
(5) X-ray absorber materials are Ti, V, Cr,
Mn, Fe, Co, Ni, Cu, Zn, Nb, Mo, T
c, Ru, Rh, Pd, Ag, La, Ce, Pr, N
contain at least one element of d, Pm, Sm, Eu, and Gd.

【0024】(6-1) メンブレン膜上にX線吸収体からな
るパターンが形成されたX線マスク部と、このX線マス
ク部を支持する支持体とを備えたX線露光用マスクにお
いて、前記X線マスク部に入射する光の最大光強度波長
を0.6〜1nmに持つシンクロトロン放射光を露光光
源として用い、前記X線吸収体として、その全てのL殻
及びM殻吸収端が、0.65nm以下、或いは1.02
nm以上の領域である元素を主成分とする材料を用いた
ことを特徴とする。
(6-1) An X-ray exposure mask comprising: an X-ray mask portion having a pattern formed of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask portion. The synchrotron radiation having the maximum light intensity wavelength of the light incident on the X-ray mask portion in the range of 0.6 to 1 nm is used as an exposure light source, and all the L-shell and M-shell absorption edges are used as the X-ray absorber. , 0.65 nm or less, or 1.02
It is characterized by using a material containing, as a main component, an element in a region of nm or more.

【0025】(6-2) X線吸収体は、単体元素、或いは原
子番号31のGa(31)、或いはそれらの合金、或い
は積層膜であること。
(6-2) The X-ray absorber is a single element, Ga (31) having an atomic number of 31, or an alloy thereof, or a laminated film.

【0026】(6-3) 吸収体に用いられる元素は、原子番
号27〜30のCo(27)〜Zn(30)或いはGa
(31)、原子番号45〜47のRh(45)〜Ag
(47)、原子番号57〜63のLa(57)〜Eu
(63)、原子番号76〜80のOs(76)〜Hg
(80)、原子番号85〜87のAt(85)〜Fr
(87)、原子番号89〜92のAc(89)〜U(9
2)の何れかであること。
(6-3) Elements used for the absorber include Co (27) to Zn (30) having atomic numbers 27 to 30 or Ga
(31), Rh (45) to Ag of atomic numbers 45 to 47
(47), La (57) -Eu of atomic numbers 57-63
(63), Os (76) -Hg of atomic numbers 76-80
(80), At (85) to Fr of atomic numbers 85 to 87
(87), Ac (89) to U (9) having atomic numbers 89 to 92.
It must be one of 2).

【0027】(7-1) メンブレン膜上にX線吸収体からな
るパターンが形成されたX線マスク部と、このX線マス
ク部を支持する支持体とを備えたX線露光用マスクにお
いて、前記X線吸収体として、全てのL殻及びM殻吸収
端が、露光光源であるシンクロトロン放射光の露光波長
域(光強度として該X線露光用マスクに入射する最大光
強度の波長における光強度の1/10以上の強度を持つ
波長域を露光波長域とする)の最短波長以下或いは露光
波長の最長波長以上の領域であり、且つ何れか1つの吸
収端が露光波長域の最短波長から最短波長より0.4n
m短い波長までの波長域にある第1の材料と、全てのL
殻及びM殻吸収端が、露光光源であるシンクロトロン放
射光の露光波長域の最短波長以下或いは露光波長の最長
波長以上の領域であり、且つ何れか1つの吸収端が露光
波長域の最長波長から最長波長より0.6nm長い波長
までの波長域にある第2の材料と、を組み合わせた合金
又は積層膜を用いることを特徴とする。
(7-1) An X-ray exposure mask comprising: an X-ray mask having a pattern of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask. As the X-ray absorber, all of the L-shell and M-shell absorption edges have an exposure wavelength range of synchrotron radiation as an exposure light source (light at a wavelength of maximum light intensity incident on the X-ray exposure mask as light intensity). The wavelength region having an intensity of 1/10 or more of the intensity is defined as an exposure wavelength region or less, or at least one of the longest wavelengths of the exposure wavelength, and any one absorption edge is from the shortest wavelength of the exposure wavelength region. 0.4n from the shortest wavelength
the first material in the wavelength range up to
The shell and M-shell absorption edges are regions that are shorter than or equal to the shortest wavelength of the exposure wavelength range of the synchrotron radiation light as the exposure light source or longer than the longest wavelength of the exposure wavelength, and one of the absorption edges is the longest wavelength of the exposure wavelength range. And a second material in a wavelength range from 0.6 to 0.6 nm longer than the longest wavelength.

【0028】(8-1) メンブレン膜上にX線吸収体からな
るパターンが形成されたX線マスク部と、このX線マス
ク部を支持する支持体とを備えたX線露光用マスクにお
いて、露光波長域(光強度として該X線露光用マスクに
入射する最大光強度の波長における光強度の1/10以
上の強度を持つ波長域を露光波長域とする)を0.65
nmから1.02nmの間に有するシンクロトロン放射
光を露光光源として用い、前記X線吸収体として、全て
のL殻及びM殻吸収端が、前記露光波長域の最短波長以
下或いは最長波長以上の領域にあり、且つ何れか1つの
吸収端が前記露光波長域の最短波長から最短波長より
0.4nm短い波長までの波長域にある第1の材料と、
全てのL殻及びM殻吸収端が前記露光波長の最短波長以
下或いは最長波長以上の領域にあり、且つ何れか1つの
吸収端が露光波長域の最長波長から最長波長より0.6
nm長い波長までの波長域に有する第2の材料とを組み
合わせた合金又は積層膜を用いることを特徴とする。
(8-1) An X-ray exposure mask comprising: an X-ray mask portion having a pattern formed of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask portion. The exposure wavelength range (the wavelength range having an intensity of 1/10 or more of the light intensity at the wavelength of the maximum light intensity incident on the X-ray exposure mask as the light intensity) is 0.65.
The synchrotron radiation having a wavelength between 1.0 nm and 1.02 nm is used as an exposure light source, and as the X-ray absorber, all L-shell and M-shell absorption edges are shorter than the shortest wavelength or longer than the longest wavelength of the exposure wavelength range. A first material in a region, and any one absorption edge is in a wavelength range from the shortest wavelength of the exposure wavelength range to a wavelength shorter by 0.4 nm than the shortest wavelength,
All of the L-shell and M-shell absorption edges are in the region not longer than the shortest wavelength or longer than the longest wavelength of the exposure wavelength, and any one absorption edge has a length of 0.6 to more than the longest wavelength in the exposure wavelength range.
It is characterized by using an alloy or a stacked film in which a second material having a wavelength range up to nm longer is combined.

【0029】(8-2) 第1の材料として、原子番号41〜
52のNb(41)〜Te(52)及び原子番号76〜
92のOs(76)〜U(92)の何れかの元素を用
い、第2の材料として、原子番号22〜31のCo(2
2)〜Zn(30)及び原子番号57〜62のLa(5
7)〜Eu(63)の何れかの元素を用いること。
(8-2) As the first material, atomic numbers 41 to 41
52 Nb (41) to Te (52) and atomic number 76 to
Any of Os (76) to U (92) of No. 92 is used, and Co (2) of atomic numbers 22 to 31 is used as the second material.
2) to Zn (30) and La (5) having atomic numbers 57 to 62;
7) Use any of elements Eu to (63).

【0030】(8-3) 第2の材料として、原子番号31の
Ga(ガリウム)を含めた第1の材料との合金、或いは
積層膜であること。
(8-3) The second material is an alloy with the first material including Ga (gallium) having an atomic number of 31 or a laminated film.

【0031】(9-1) メンブレン膜上にX線吸収体からな
るパターンが形成されたX線マスク部と、このX線マス
ク部を支持する支持体とを備えたX線露光用マスクにお
いて、前記メンブレン膜上に、前記X線吸収体パターン
とは異なる透過膜パターンを有することを特徴とする。
(9-1) An X-ray exposure mask comprising: an X-ray mask portion having a pattern formed of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask portion. It is characterized by having a transmission film pattern different from the X-ray absorber pattern on the membrane film.

【0032】(9-2) X線吸収体を透過する露光光の位相
と透過膜を透過する露光光の位相との差をX線吸収体及
び透過膜の膜厚により制御すること。
(9-2) The difference between the phase of the exposure light passing through the X-ray absorber and the phase of the exposure light passing through the transmission film is controlled by the film thickness of the X-ray absorber and the transmission film.

【0033】(9-3) X線吸収体材料の露光波長域におい
て生じる位相分散を打ち消す位相特性を持つ元素を透過
膜の材料に選択すること。
(9-3) An element having a phase characteristic for canceling phase dispersion generated in the exposure wavelength region of the X-ray absorber material is selected as a material of the transmission film.

【0034】(9-4) 透過膜材料として、その全ての吸収
端が、露光波長域(光強度として最大光強度からその1
/10までの強度を持つ波長域)の最短波長以下、或い
は最長波長以上の領域である元素、或いは最短波長近傍
(最短波長より0.1nm以内)に吸収端を持つ元素か
らなる単体元素、化合物、積層膜を用いること。
(9-4) As for the permeable film material, all the absorption edges are in the exposure wavelength range (from the maximum light intensity to the light intensity
Element or compound consisting of an element whose wavelength is less than or equal to or shorter than the shortest wavelength in the wavelength range having an intensity of up to / 10, or an element having an absorption edge near the shortest wavelength (within 0.1 nm from the shortest wavelength). , Using a laminated film.

【0035】(9-5) X線吸収体材料として、その全ての
吸収端が、露光波長域(光強度として該X線露光用マス
クに入射する最大光強度の波長における光強度の1/1
0以上の強度を持つ波長域を露光波長域とする)の最短
波長以下、或いは最長波長以上、或いは最長波長近傍
(最長波長より0.1nm以内)の元素からなる単体元
素,化合物,積層膜を用いること。
(9-5) As the X-ray absorber material, all the absorption edges have an exposure wavelength range (light intensity of 1/1 of the light intensity at the wavelength of the maximum light intensity incident on the X-ray exposure mask).
The wavelength region having an intensity of 0 or more is defined as an exposure wavelength region), a single element, a compound, or a laminated film composed of an element at or below the shortest wavelength, at or above the longest wavelength, or near the longest wavelength (within 0.1 nm from the longest wavelength). Use.

【0036】(9-6) シンクロトロン放射光として、露光
波長域(光強度としてX線マスク部に入射する最大光強
度の波長における光強度の1/10以上の強度を持つ波
長域を露光波長域とする)を0.65nmから1.02
nmの間に有する露光光源を用いたとき、透過膜材料の
主たる構成元素としてBe,B,C,N,O,F,N
a,Si,P,S,Cl,K,Ca,Sc,Ti,V,
Cr,Rb,Sr,Y,Zr,Nb,Mo,I,Raの
少なくとも1つを用いること。
(9-6) As the synchrotron radiation, an exposure wavelength range (a wavelength range having an intensity of 1/10 or more of the light intensity at the wavelength of the maximum light intensity incident on the X-ray mask portion as the light intensity) Range) from 0.65 nm to 1.02
When an exposure light source having a distance between nm is used, Be, B, C, N, O, F, N
a, Si, P, S, Cl, K, Ca, Sc, Ti, V,
Use at least one of Cr, Rb, Sr, Y, Zr, Nb, Mo, I, and Ra.

【0037】(9-7) シンクロトロン放射光として、露光
波長域(光強度としてX線マスク部に入射する最大光強
度の波長における光強度の1/10以上の強度を持つ波
長域を露光波長域とする)を0.65nmから1.02
nmの間に有する露光光源を用いたとき、吸収体材料の
主たる構成元素として、Co,Ni,Cu,Zn,G
a,Rh,Pd,Ag,La,Ce,Pr,Nd,S
m,Eu,Gd,Tb,Dy,Ho,Pt,Auの少な
くとも1つ、或いはこれらの合金を用いること。
(9-7) As synchrotron radiation, an exposure wavelength range (a wavelength range having an intensity of 1/10 or more of the light intensity at the wavelength of the maximum light intensity incident on the X-ray mask portion as the light intensity) Range) from 0.65 nm to 1.02
When using an exposure light source having a wavelength of between 500 nm, Co, Ni, Cu, Zn, G
a, Rh, Pd, Ag, La, Ce, Pr, Nd, S
Use at least one of m, Eu, Gd, Tb, Dy, Ho, Pt, and Au, or an alloy thereof.

【0038】(9-8) シンクロトロン放射光として、X線
マスク部に入射する光の最大光強度を波長0.7〜1.
2nmに持つシンクロトロン放射光を用いたとき、上記
透過膜材料として、Si,Si3 4 ,SiC,SiO
2 ,SrOを用いること。
(9-8) As the synchrotron radiation light, the maximum light intensity of the light incident on the X-ray mask portion is set to a wavelength of 0.7 to 1.
When synchrotron radiation having a wavelength of 2 nm is used, Si, Si 3 N 4 , SiC, SiO
2. Use SrO.

【0039】(9-9) シンクロトロン放射光として、X線
マスク部に入射する光の最大光強度を波長0.3〜0.
7nmに持つシンクロトロン放射光を用いたとき、透過
膜材料として、ダイヤモンド,CaO,Sc2 3 ,T
iO2 を用いること。
(9-9) As the synchrotron radiation light, the maximum light intensity of the light incident on the X-ray mask portion is set to a wavelength of 0.3 to 0.5.
When synchrotron radiation having a wavelength of 7 nm is used, diamond, CaO, Sc 2 O 3 , T
Use iO 2 .

【0040】(9-10) シンクロトロン放射光として、X
線マスク部に入射する光の最大光強度を波長0.6〜1
nmに持つシンクロトロン放射光を用いたとき、透過膜
材料として、SiO2 ,SrO,SiC,Si用いるこ
と。
(9-10) As synchrotron radiation, X
The maximum light intensity of light incident on the line mask portion is set to a wavelength of 0.6 to 1
When synchrotron radiation having a wavelength of nm is used, SiO 2 , SrO, SiC, or Si is used as a material for the transmission film.

【0041】(9-11) 透過膜材料として、メンブレン材
料と同一の物質を用いること。
(9-11) The same substance as the membrane material is used as the permeable membrane material.

【0042】(10-1) メンブレン膜上にX線吸収体から
なるパターンが形成されたX線マスク部と、このX線マ
スク部を支持する支持体とを備えたX線露光用マスクに
おいて、前記X線マスク部に入射する光の最大光強度の
波長における光強度の1/10以上の強度を持つ波長域
を露光波長域とするとき、前記X線吸収体材料として、
該露光波長域内の波長に対する位相シフト量の最大及び
最小位相シフト量が該露光波長域内の平均位相シフト量
の±10%以内となるものを用いることを特徴とする。
(10-1) An X-ray exposure mask comprising: an X-ray mask portion having a pattern formed of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask portion. When the wavelength region having an intensity of 1/10 or more of the light intensity at the wavelength of the maximum light intensity of the light incident on the X-ray mask portion is the exposure wavelength region, as the X-ray absorber material,
A phase shifter having a maximum and a minimum phase shift amount within a range of ± 10% of an average phase shift amount within the exposure wavelength range is used.

【0043】(11-1) 前記(9) に記載のX線露光用マス
クを製造するためのX線露光用マスクの製造方法におい
て、前記吸収体を形成する際に、予め加工された透過膜
パターン上にスパッタリング法又は化学気相蒸着法によ
り吸収体を堆積する工程と、これに引き続き行われる加
熱工程とを含むことを特徴とする。
(11-1) In the method of manufacturing an X-ray exposure mask for manufacturing an X-ray exposure mask according to the above (9), a transparent film which has been processed beforehand when forming the absorber. The method includes a step of depositing an absorber on a pattern by a sputtering method or a chemical vapor deposition method, and a heating step performed subsequently.

【0044】(11-2) X線吸収体の融点が1500℃以
下であり、透過膜の融点が1500℃以上であること。
(11-2) The melting point of the X-ray absorber is 1500 ° C. or less, and the melting point of the permeable film is 1500 ° C. or more.

【0045】(11-3) X線吸収体材料として、 Co,N
i,Cu,Zn,Ga,Ag,Cd,La,Ce,P
r,Nd,Sm,Eu,Gd,Tb,Dy,Ho,Au
及びこれらの合金を用いる。
(11-3) Co, N as an X-ray absorber material
i, Cu, Zn, Ga, Ag, Cd, La, Ce, P
r, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Au
And their alloys.

【0046】(12-1) 前記(9) に記載のX線露光用マス
クを製造するためのX線露光用マスクの製造方法におい
て、前記透過膜を形成する際に、予め加工された吸収体
パターン上にスパッタリング法又は化学気相蒸着法によ
り透過膜を堆積する工程と、これに引き続き行われる加
熱工程とを含むこと。
(12-1) In the method for manufacturing an X-ray exposure mask for manufacturing an X-ray exposure mask according to the above (9), when the transmission film is formed, the absorber processed in advance is used. The method includes a step of depositing a permeable film on a pattern by a sputtering method or a chemical vapor deposition method, and a heating step subsequently performed.

【0047】(12-2) 吸収体の融点は1500℃以上で
あり、透過膜の融点は1000℃以下であること。
(12-2) The melting point of the absorber is 1500 ° C. or more, and the melting point of the permeable membrane is 1000 ° C. or less.

【0048】(13-1) X線露光用マスクの製造方法にお
いて、X線透過薄膜上にX線吸収体とは異なる物質から
なる第1の層を形成する工程と、第1の層に所望のパタ
ーンを形成する工程と、前記X線透過薄膜及び第1の層
の上にX線吸収体層を形成する工程と、前記X線吸収体
層上に第2の層を形成して表面を平坦化する工程と、前
記X線吸収体層と第2の層を同時に加工する工程とを含
むことを特徴とする。
(13-1) In the method of manufacturing a mask for X-ray exposure, a step of forming a first layer made of a material different from the X-ray absorber on the X-ray transparent thin film, Forming a pattern, forming an X-ray absorber layer on the X-ray transparent thin film and the first layer, and forming a second layer on the X-ray absorber layer to form a surface. The method includes a step of planarizing and a step of simultaneously processing the X-ray absorber layer and the second layer.

【0049】(13-2) 第1の層上にX線吸収体層を形成
する工程の後で第2の層を形成する工程の前に、加熱に
よりX線吸収体層を第1の層のパターン凹部に凝集埋め
込みすること。
(13-2) After the step of forming the X-ray absorber layer on the first layer and before the step of forming the second layer, the X-ray absorber layer is heated to form the first layer. Aggregate embedding in the pattern recess.

【0050】(13-3) 第1の層に所望のパターンを形成
する工程は、レジストを塗布する工程を含み、第2の層
が回転塗布により形成されることを特徴とし、レジスト
の塗布特性と第2の層の塗布特性が等しいこと。
(13-3) The step of forming a desired pattern on the first layer includes a step of applying a resist, wherein the second layer is formed by spin coating. And that the coating properties of the second layer are equal.

【0051】(13-4) 第2の層がレジストであり、該レ
ジストの塗布が第1の層に所望のパターンを形成する工
程に含まれるレジストの塗布と、同一の装置を用いて行
われること。
(13-4) The second layer is a resist, and the application of the resist is performed using the same apparatus as the application of the resist included in the step of forming a desired pattern on the first layer. thing.

【0052】(13-5) 第2の層を形成して該層とX線吸
収体層を同時にエッチングする代わりに、X線吸収体層
を同時に加工する工程としてX線吸収体層のエッチング
もしくはポリッシングする方法がある。
(13-5) Instead of forming the second layer and simultaneously etching the layer and the X-ray absorber layer, the X-ray absorber layer is etched or etched as a step of simultaneously processing the X-ray absorber layer. There is a method of polishing.

【0053】(13-6) X線吸収体層のポリッシングに用
いられる装置は、マスクの被研磨面側に流体を満たし、
この流体の圧力を制御する機構を備えていること。
(13-6) The apparatus used for polishing the X-ray absorber layer fills the polished surface side of the mask with a fluid,
A mechanism for controlling the pressure of the fluid must be provided.

【0054】(13-7) X線吸収体層のポリッシングに用
いられる装置は、マスクを固定する台座を含み、台座と
マスクのX線透過性薄膜までの距離を監視するセンサを
含むこと。
(13-7) The apparatus used for polishing the X-ray absorber layer includes a pedestal for fixing the mask, and a sensor for monitoring the distance between the pedestal and the X-ray transparent thin film of the mask.

【0055】(14-1) 前記 (1)〜(10) のいずれかに記載
のX線露光用マスクと、このマスクに対し最大光強度を
波長0.6〜1nmに持つシンクロトロン放射光を照射
するためのX線源と、マスクを透過したX線をウェハ上
に投影露光する手段とを具備してなることを特徴とする
パターン露光装置。
(14-1) An X-ray exposure mask according to any one of (1) to (10), and a synchrotron radiation having a maximum light intensity at a wavelength of 0.6 to 1 nm is applied to the mask. A pattern exposure apparatus comprising: an X-ray source for irradiation; and means for projecting and exposing X-rays transmitted through a mask onto a wafer.

【0056】(15-1)前記 (1)〜(10) のいずれかに記載
のX線露光用マスクを用い、さらにX線マスク部に入射
する光の最大光強度を波長0.6〜1nmに持つシンク
ロトロン放射光を露光光源に用いて、マスクに形成され
たパターンをウェハ上に露光転写することを特徴とする
パターン露光方法。
(15-1) The mask for X-ray exposure according to any one of (1) to (10) is used, and the maximum light intensity of light incident on the X-ray mask portion is set to a wavelength of 0.6 to 1 nm. A pattern formed on a mask by exposure-transfer onto a wafer using the synchrotron radiation light of the above as an exposure light source.

【0057】(16-1) 前記 (1)〜(10) のいずれかに記載
のX線露光用マスクを用いて半導体デバイスを生産する
ことを特徴とするデバイス生産方法。
(16-1) A device production method characterized by producing a semiconductor device using the X-ray exposure mask according to any one of the above (1) to (10).

【0058】(作用)本発明では、以下の2つの課題の
解決をはかる。第1の課題は、解像性に優れる露光波長
域0.6〜1nmに最大光強度波長を持つシンクロトロ
ン放射光に対して吸収が大きく、高いマスクコントラス
トが得られる材料をX線吸収体に用いて、好適なX線露
光用マスクを実現する。従来の吸収体材料を用いたX線
露光用マスクでは、高いマスクコントラストを得るには
吸収体の膜厚を厚くする必要があるため、微細なパター
ンの形成が難しいという問題を有している。従って、実
際の露光に用いるシンクロトロン放射光に対して吸収が
大きく、高いマスクコントラストが得られる材料が必要
となる。
(Operation) In the present invention, the following two problems are solved. The first problem is that a material capable of obtaining a high mask contrast and having a high absorption for synchrotron radiation having a maximum light intensity wavelength in the exposure wavelength range of 0.6 to 1 nm, which is excellent in resolution, is used for the X-ray absorber. To realize a suitable X-ray exposure mask. A conventional X-ray exposure mask using an absorber material has a problem that it is difficult to form a fine pattern because the absorber must have a large film thickness in order to obtain high mask contrast. Therefore, a material that absorbs much of the synchrotron radiation used for actual exposure and that can provide high mask contrast is required.

【0059】第2の課題は、X線吸収体とマスク基板を
透過したX線のそれぞれの位相φ1とφ2 のシフト量の
差|φ1 −φ2 |が、解像性に優れる露光波長0.6〜
1nmに対して、波長依存性を示さず、同じシフト量と
なる材料で且つ高いマスクコントラストが得られる材料
を吸収体に用いて、好適なX線露光用マスク、位相シフ
トマスクを実現する。このとき、シンクロトロン放射光
をX線ミラーによって反射させる露光方法においても、
露光位置によって波長分布に大きな差が生じても、位相
シフト量が波長依存性を示さなければ、露光むらや解像
度の劣化は抑えられる。
The second problem is that the difference | φ 1 −φ 2 | between the shift amounts of the phases φ 1 and φ 2 of the X-rays that have passed through the X-ray absorber and the mask substrate indicates that the exposure is excellent in resolution. Wavelength 0.6 ~
A suitable X-ray exposure mask and phase shift mask are realized by using, as the absorber, a material that does not exhibit wavelength dependence for 1 nm and has the same shift amount and high mask contrast. At this time, in the exposure method in which the synchrotron radiation light is reflected by the X-ray mirror,
Even if there is a large difference in the wavelength distribution depending on the exposure position, if the phase shift does not show wavelength dependence, uneven exposure and deterioration in resolution can be suppressed.

【0060】従来例の図8(米国特許公報 4,890,309
号)に示す吸収体の位相を反転させる位相シフトマスク
においては、吸収体5’とマスク基板を透過したX線の
それぞれの位相φ1 とφ2 がπだけずれるように吸収体
の厚さda を決定している。例えば、波長0.8nmの
X線でW(タングステン)からなる吸収体のパターンを
露光する場合、厚さ0.5μmとすれば|φ1 −φ2
=πとなり、目的が達成できるが、波長域の広いシンク
ロトロン放射光を用いて露光を行う場合、吸収体の厚さ
dによる位相シフト量及び吸収は波長に対して変化す
る。ここで、厚さ0.5μmのWからなる吸収体のパタ
ーンを用いて、波長域0.6〜1nmのシンクロトロン
放射光による露光を想定する場合、位相シフト量は0.
38π<|φ 1 −φ2 |<1.32πとなり、単一波長
についての位相特性や吸収特性を考慮しているだけでは
所望の位相シフト効果は得られない。
FIG. 8 of the conventional example (US Pat. No. 4,890,309)
Phase shift mask that inverts the phase of the absorber
, The X-rays transmitted through the absorber 5 ′ and the mask substrate
Each phase φ1And φTwoAbsorber so that is shifted by π
Thickness daIs determined. For example, at a wavelength of 0.8 nm
X-ray pattern of absorber made of W (tungsten)
When exposing, if the thickness is 0.5 μm, | φ1 −φTwo|
= Π, the purpose can be achieved, but the sink has a wide wavelength range
When performing exposure using rotron radiation, the thickness of the absorber
The phase shift and absorption due to d change with wavelength.
You. Here, the pattern of the absorber made of W having a thickness of 0.5 μm was used.
Synchrotron with a wavelength range of 0.6 to 1 nm
When assuming exposure by synchrotron radiation, the amount of phase shift is set to 0.1.
38π <| φ 1−φTwo| <1.32π, a single wavelength
Just taking into account the phase and absorption characteristics of
The desired phase shift effect cannot be obtained.

【0061】そこで本発明では、図1に示すようなメン
ブレン6及びX線吸収体パターン5を有するX線露光用
マスクにおいて、メンブレン6はX線吸収体によるパタ
ーン5を形成するものであり、X線吸収体は、Co,N
i,Cu,Zn,Ga、ランタノイド系希土類元素のL
a,Ce,Pr,Nd,Pm,Sm,Eu,Gd,T
b,Dy,Ho,Er,Tm,Yb,Luの単体元素及
びこれらの合金、またCr,Mn,Fe,Hf,Ta,
W,Re,Os,Ir,Pt,Au,Hgの何れかの元
素とランタノイド系希土類元素(La〜Lu)の何れか
の元素との合金を用いる。また、X線4としては、X線
露光用マスクに入射する光の最大光強度を波長0.6〜
1nmに持つシンクロトロン放射光を用いる。
Therefore, according to the present invention, in the X-ray exposure mask having the membrane 6 and the X-ray absorber pattern 5 as shown in FIG. 1, the membrane 6 forms the pattern 5 by the X-ray absorber. The line absorber is Co, N
i, Cu, Zn, Ga, L of the lanthanoid rare earth element
a, Ce, Pr, Nd, Pm, Sm, Eu, Gd, T
Simple elements of b, Dy, Ho, Er, Tm, Yb, Lu and alloys thereof, and Cr, Mn, Fe, Hf, Ta,
An alloy of any one of W, Re, Os, Ir, Pt, Au, and Hg and any one of lanthanoid rare earth elements (La to Lu) is used. The X-ray 4 has a maximum light intensity of light incident on the X-ray exposure mask of a wavelength of 0.6 to
Synchrotron radiation having a wavelength of 1 nm is used.

【0062】また、上記の構造のX線露光用マスクの他
に本発明では、図2〜図4に示すメンブレン6上にX線
吸収体パターン10と吸収体とは異なる透過膜パターン
(8,8’,8”)を有するマスク特徴とするX線露光用
マスクを用いる。このとき、X線吸収体材料としては、
その全ての吸収端が、露光波長域(光強度として該X線
露光用マスクに入射する最大光強度の1/10以上の強
度を持つ波長域)の最短波長以下、或いは最長波長以上
の領域である元素からなる単体元素,化合物,積層膜を
用いることを特徴とし、また透過膜材料としては、その
全ての吸収端が、露光波長域の最短波長以下、或いは最
長波長以上の領域である元素、或いは最短波長近傍(最
短波長より0.1nm以内)に吸収端を持つ元素からな
る単体元素,化合物,積層膜を用いることを特徴とす
る。このとき、露光波長域における吸収体の位相分散を
打ち消すのに好適な位相特性を持つ元素からなる物質を
透過膜材料に選択する。
In addition to the X-ray exposure mask having the above structure, in the present invention, the X-ray absorber pattern 10 and a transparent film pattern different from the absorber are formed on the membrane 6 shown in FIGS.
An X-ray exposure mask having a mask characteristic of (8, 8 ′, 8 ″) is used. At this time, as the X-ray absorber material,
All of the absorption edges are in the region of the shortest wavelength or less or the longest wavelength or more in the exposure wavelength range (wavelength range having an intensity of 1/10 or more of the maximum light intensity incident on the X-ray exposure mask as light intensity). It is characterized by using a single element, a compound, or a laminated film composed of a certain element, and as a permeable film material, an element whose all absorption edges are in a region shorter than the shortest wavelength of the exposure wavelength region or longer than the longest wavelength. Alternatively, a single element, a compound, or a stacked film including an element having an absorption edge near the shortest wavelength (within 0.1 nm from the shortest wavelength) is used. At this time, a substance made of an element having a phase characteristic suitable for canceling the phase dispersion of the absorber in the exposure wavelength region is selected as the permeable film material.

【0063】このように本発明では、請求項1,2のよ
うに吸収体の材料を選ぶことによって、回折効果と2次
電子効果が最も抑制され、高解像度の転写パターンが得
られる0.6〜1nmの波長域のX線を露光に用いて、
パターン転写を行う際に、微細加工の容易な薄膜化され
た吸収体を用いることが可能となる。
As described above, in the present invention, the diffraction effect and the secondary electron effect are most suppressed by selecting the material of the absorber as in claims 1 and 2, and a high-resolution transfer pattern can be obtained. Using X-rays in the wavelength range of ~ 1 nm for exposure,
When pattern transfer is performed, it is possible to use a thinned absorber that can be easily microprocessed.

【0064】また、請求項3〜8のように吸収体の材料
を選ぶことにより、吸収体とマスク部を透過したX線の
それぞれの位相φ1 とφ2 (又は吸収体と透過膜を透過
したX線のそれぞれの位相φa とφt )のシフト量の差
|φ1 −φ2 |(又は|φa−φt |)が、その露光波
長帯域に渡りほぼ一定となり、シンクロトロン放射光に
対して好適な位相シフト効果を持つX線転写を行い、パ
ターン解像性の向上をはかることができる。特に、解像
性に優れる露光波長0.6〜1nmのシンクロトロン放
射光を用いた露光においては、パターン解像性の大幅な
向上を期待できる。
Further, by selecting the material of the absorber as in claims 3 to 8, the respective phases φ 1 and φ 2 of the X-ray transmitted through the absorber and the mask portion (or transmitted through the absorber and the transmission film). The difference | φ 1 −φ 2 | (or | φ a −φ t |) of the shift amount of each phase φ a and φ t ) of the obtained X-ray becomes substantially constant over the exposure wavelength band, and the synchrotron radiation X-ray transfer having a suitable phase shift effect on light can be performed to improve pattern resolution. In particular, in exposure using synchrotron radiation having an exposure wavelength of 0.6 to 1 nm, which has excellent resolution, a significant improvement in pattern resolution can be expected.

【0065】[0065]

【発明の実施の形態】以下、本発明の詳細を図示の実施
形態によって説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The details of the present invention will be described below with reference to the illustrated embodiments.

【0066】(第1の実施形態)図1に示すように、X
線露光用マスク1は、X線透過薄膜としてのメンブレン
6上にX線吸収体パターン5を形成し、メンブレン6の
周辺を支持体7で支持した構成となっている。マスクと
しての基本的な構成は従来のマスクと同様であるが、本
実施形態では後に説明するように構成材料、特に吸収体
材料が従来とは大きく異なっている。
(First Embodiment) As shown in FIG.
The X-ray exposure mask 1 has a configuration in which an X-ray absorber pattern 5 is formed on a membrane 6 as an X-ray transmitting thin film, and the periphery of the membrane 6 is supported by a support 7. The basic configuration of the mask is the same as that of the conventional mask, but in the present embodiment, as will be described later, the constituent materials, particularly the absorber material, are significantly different from those of the conventional mask.

【0067】X線4としてはシンクロトロン放射光を用
いた。シンクロトロン放射光としては、リング蓄積電子
エネルギー600MeV、偏向磁場3T、最大蓄積電流
500mA、最大露光面積30mm角、最大露光強度5
0mW/cm2 、ビーム平行度2mrad以下のものを用い
た。放射光の取り出し窓としては、平均膜厚25μmの
ベリリウム(Be)窓、平均膜厚1.5μmの窒化珪素
(Si3 4 )窓、平均膜厚1.0μmのダイヤモンド
窓を用い、さらに集光及び揺動ミラーには射入射型の白
金(Pt)ミラーを用いた。このときシンクロトロン放
射光として、波長域0.62〜1.02nmの放射光が
得られ、また膜厚1.0μmのメンブレン材料、窒化珪
素(Si3 4 )膜、炭化珪素(SiC)膜、ダイヤモ
ンド膜、珪素(Si)膜のそれぞれの透過後の放射光強
度分布は図5に示す結果となり、露光対象物のパターン
精度を向上させるのに好適な露光光源である。ここで、
レジスト材料としてはノボラック樹脂系化学増幅型ネガ
型レジスト(膜厚dR =0.3μm)を用いた。
As the X-rays 4, synchrotron radiation was used. The synchrotron radiation light includes ring-stored electron energy of 600 MeV, deflection magnetic field of 3 T, maximum storage current of 500 mA, maximum exposure area of 30 mm square, and maximum exposure intensity of 5 mm.
Those having 0 mW / cm 2 and a beam parallelism of 2 mrad or less were used. As a window for extracting the emitted light, a beryllium (Be) window having an average film thickness of 25 μm, a silicon nitride (Si 3 N 4 ) window having an average film thickness of 1.5 μm, and a diamond window having an average film thickness of 1.0 μm were used. An incident-type platinum (Pt) mirror was used for the light and the oscillating mirror. At this time, synchrotron radiation light having a wavelength range of 0.62 to 1.02 nm is obtained, and a 1.0 μm-thick membrane material, silicon nitride (Si 3 N 4 ) film, silicon carbide (SiC) film The intensity distribution of the radiated light after transmission of each of the diamond film and the silicon (Si) film is as shown in FIG. 5, which is an exposure light source suitable for improving the pattern accuracy of the object to be exposed. here,
As a resist material, a novolak resin-based chemically amplified negative resist (thickness d R = 0.3 μm) was used.

【0068】メンブレン6として膜厚が1μm又は2μ
mの窒化珪素(Si3 4 )膜、炭化珪素(SiC)
膜、ダイヤモンド膜、珪素(Si)膜の何れかの膜、ま
た吸収体5の膜厚da を0.4μmとする図1に示す構
造のX線露光用マスクを、上記露光条件において用いた
ときに得られる各種材料のマスクコントラストの結果
を、下記の(表1)に示す。
The membrane 6 has a thickness of 1 μm or 2 μm.
m silicon nitride (Si 3 N 4 ) film, silicon carbide (SiC)
A film, a diamond film, a silicon (Si) film, or an X-ray exposure mask having the structure shown in FIG. 1 in which the absorber da has a thickness da of 0.4 μm was used under the above exposure conditions. The results of mask contrast of various materials that are sometimes obtained are shown in the following (Table 1).

【0069】[0069]

【表1】 [Table 1]

【0070】この(表1)から明らかなように、Gd,
Tb,Sm,Ho,Ir,Pt,Dy,Osは従来材料
のAu,W,Taよりも吸収が大きく高マスクコントラ
ストが得られる単体元素であり、波長域0.6〜1nm
のシンクロトロン放射光を用いたX線露光において好適
な吸収体材料であることが判る。この他にも、Tm,E
r,Pm,Cu,Nd,Niを用いたときにTaより高
マスクコントラストが得られ、これらが好適な吸収体材
料であることが判る。
As apparent from Table 1, Gd,
Tb, Sm, Ho, Ir, Pt, Dy, and Os are simple elements that have higher absorption and higher mask contrast than conventional materials of Au, W, and Ta, and have a wavelength range of 0.6 to 1 nm.
It is found that the material is a suitable absorber material for X-ray exposure using synchrotron radiation. In addition, Tm, E
When r, Pm, Cu, Nd, and Ni are used, a higher mask contrast is obtained than Ta, which indicates that these are suitable absorber materials.

【0071】また本実施形態では、波長域0.6〜1n
mのシンクロトロン放射光を用いたX線露光において吸
収が大きく、高いマスクコントラストが得られる単体元
素の吸収体材料は、原子数密度と吸収端波長の位置の関
係から以下の3つのグループに分けられることを明らか
にした。
In this embodiment, the wavelength range is 0.6 to 1n.
Absorber materials of simple elements that have high absorption and high mask contrast in X-ray exposure using synchrotron radiation of m are divided into the following three groups based on the relationship between the atomic number density and the position of the absorption edge wavelength. Revealed that

【0072】 1)原子番号27〜31 → Co(27)〜Ga(31) 2)原子番号57〜71 → La(57)〜Lu(71) 3)原子番号72〜80 → Hf(72)〜Hg(80) 以下、これらのグループの単体元素が波長域0.6〜1
nmのシンクロトロン放射光を用いたX線露光において
吸収が大きく、高いマスクコントラストが得られる理由
について説明する。
1) Atomic numbers 27 to 31 → Co (27) to Ga (31) 2) Atomic numbers 57 to 71 → La (57) to Lu (71) 3) Atomic numbers 72 to 80 → Hf (72) to Below Hg (80), the elemental elements in these groups have a wavelength range of 0.6 to 1
The reason why the absorption is large and the high mask contrast is obtained in the X-ray exposure using the synchrotron radiation of nm is described.

【0073】軟X線領域における物質の複素屈折率及び
吸収・消衰係数は、以下の式 (1)〜(4) で表され、吸収
は (4)式で示されるように原子数密度Na と原子散乱因
子f 2 の積に比例することから、マスクコントラストの
大きさも原子数密度Na と原子散乱因子f2 に依存す
る。
The complex refractive index of the substance in the soft X-ray region and
The absorption and extinction coefficients are expressed by the following equations (1) to (4).
Is the atomic number density N as shown in equation (4).aAnd atomic scattering factors
Child f TwoIs proportional to the product of
The size is also the atomic number density NaAnd the atomic scattering factor fTwoDepends on
You.

【0074】 n−ik=1−δ−iβ =1−(Na e λ2 /2π)(f1 +if2 )… (1) δ=Na e λ2 1 /2π … (2) k=β=Na e λ2 2 /2π … (3) α=4πk/λ=2Na e λf2 … (4) ここで、 Na :原子数密度 re :古典電子半径(2.81794 ×10-15 [m]) λ :X線波長 f1 ,f2 :原子散乱因子の実部と虚部 n :屈折率 α :(線)吸収係数[cm-1] k :消衰係数 である。[0074] n-ik = 1-δ- iβ = 1- (N a r e λ 2 / 2π) (f 1 + if 2) ... (1) δ = N a r e λ 2 f 1 / 2π ... (2 ) k = = β = N a r e λ 2 f 2 / 2π ... (3) α = 4πk / λ 2N a r e λf 2 ... (4) where, N a: atomic number density r e: classical electron radius (2.81794 × 10 -15 [m]) λ: X-ray wavelength f 1 , f 2 : Real part and imaginary part of atomic scattering factor n: Refractive index α: (Line) absorption coefficient [cm -1 ] k: Extinction Is the coefficient.

【0075】原子数密度Na は、密度/原子量(D/
M)に比例し、単体元素でD/Mが0.085[g/c
3 ]以上の高密度の元素は、以下の元素である。
[0075] atom number density N a is the density / atomic weight (D /
M), and D / M is 0.085 g / c as a single element.
The high-density elements of m 3 ] or more are the following elements.

【0076】A)原子番号22〜31(Ti-Ga) (4.54-
8.93g/cm3 ) D/M=0.085-0.151 B)原子番号41〜47(Nb-Ag) (8.56-12.44g/cm3 )
D/M=0.092-0.1 C)原子番号73〜79(Ta-Au) (16.65-22.57g/cm3 )
D/M=0.092-0.119 一方、原子散乱因子f2 は波長に対して変化し、特に吸
収端近傍においては変化が大きく、吸収端波長より短波
長側近傍では原子散乱因子f2 は大きくなり、長波長側
近傍では極端に小さくなる。従って、シンクロトロン放
射光源を用いる場合、吸収は放射光の波長域全体にわた
るため、吸収及びマスクコントラストは元素の吸収端波
長の位置に大きく依存する。上述の露光条件(露光光、
窓材、メンブレン材料)における波長域0.6〜1nm
のシンクロトロン放射光を用いたX線露光において、吸
収端波長の位置が放射光の波長域の長波長側近傍の波長
0.75〜1.6nmに存在する以下の単体元素は、原
子散乱因子f2 が大きくなる。
A) Atomic numbers 22 to 31 (Ti-Ga) (4.54-
8.93 g / cm 3 ) D / M = 0.085-0.151 B) Atomic number 41-47 (Nb-Ag) (8.56-12.44 g / cm 3 )
D / M = 0.092-0.1 C) Atomic number 73-79 (Ta-Au) (16.65-22.57 g / cm 3 )
D / M = 0.092-0.119 On the other hand, the atomic scattering factor f 2 changes with respect to the wavelength, and the change is particularly large near the absorption edge, and the atomic scattering factor f 2 becomes large near the shorter wavelength side than the absorption edge wavelength, It becomes extremely small near the long wavelength side. Therefore, when using a synchrotron radiation source, the absorption and the mask contrast greatly depend on the position of the absorption edge wavelength of the element, because the absorption is over the entire wavelength range of the emitted light. The above exposure conditions (exposure light,
Window material, membrane material) wavelength range 0.6-1nm
In the X-ray exposure using synchrotron radiation, the following simple elements whose absorption edge wavelengths are present at wavelengths of 0.75 to 1.6 nm near the longer wavelength side of the radiation light wavelength region are the atomic scattering factors f 2 is increased.

【0077】D)原子番号27〜35(Co-Br) L殻吸収
端 0.75〜1.6nm E)原子番号56〜71(Ba-Lu) M殻吸収端 0.75
〜1.6nm グループA)及びD)に属する元素、即ち密度/原子量
が0.085[g/cm3 ]以上で、L殻吸収端を波長
0.75〜1.6nmに持つ元素は、原子番号27〜3
0のCo,Ni,Cu,Zn,Gaであり、そのL殻吸
収端がλ=1〜1.6nmにあり、シンクロトロン放射
光の波長域(0.6〜1nm)に対して長波長側近傍で
あるため、原子散乱因子f2 が大きく且つ原子数密度N
a も高い。このため、吸収率は高くなり、マスクコント
ラストは吸収体膜厚0.4μmにおいて2.5以上にな
る。従って、Co,Ni,Cu,Znは、波長域0.6
〜1nmのシンクロトロン放射光を用いたX線露光にお
いて最も好適な吸収体材料である。
D) Atomic numbers 27 to 35 (Co-Br) L-shell absorption edge 0.75 to 1.6 nm E) Atomic numbers 56 to 71 (Ba-Lu) M-shell absorption edge 0.75
Elements belonging to groups A) and D), that is, elements having a density / atomic weight of 0.085 [g / cm 3 ] or more and having an L-shell absorption edge at a wavelength of 0.75 to 1.6 nm are atoms Number 27-3
0, Co, Ni, Cu, Zn, and Ga, the L-shell absorption edge of which is at λ = 1 to 1.6 nm, which is on the long wavelength side with respect to the wavelength range of synchrotron radiation (0.6 to 1 nm). The atomic scattering factor f 2 is large and the atomic number density N
a is also high. For this reason, the absorptance increases, and the mask contrast becomes 2.5 or more at the absorber thickness of 0.4 μm. Therefore, Co, Ni, Cu, Zn have a wavelength range of 0.6.
It is the most suitable absorber material for X-ray exposure using synchrotron radiation of 11 nm.

【0078】同様に、M殻吸収端がλ=0.7〜1.5
nmにあるグループE)のBaを除く全ての元素、原子
番号57〜71のランタノイド元素La,Ce,Pr,
Nd,Sm,Eu,Gd,Tb,Dy,Ho,Er,T
m,Yb,Luにおいても、M殻吸収端がシンクロトロ
ン放射光の波長域に対して長波長側近傍であるため原子
散乱因子f2 が大きくなり、原子数密度の小さい元素で
あるにも関わらず、吸収率は高くなり、密度/原子量が
0.040[g/cm3 ]以上の元素においてはマスク
コントラストが吸収体膜厚0.4μmにおいて2.5以
上になる。Baは密度/原子量が0.025[g/cm
3 ]と極端に低く原子数密度Na が低いために、吸収率
もマスクコントラストも高くならない。
Similarly, when the M shell absorption edge is λ = 0.7 to 1.5
lanthanide elements La, Ce, Pr, atomic numbers 57-71, excluding Ba of group E)
Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, T
Also in m, Yb, and Lu, since the M-shell absorption edge is near the long wavelength side with respect to the wavelength region of synchrotron radiation, the atom scattering factor f 2 becomes large, and the element has a low atomic number density. However, the absorptance increases, and the mask contrast becomes 2.5 or more at an absorber film thickness of 0.4 μm for elements having a density / atomic weight of 0.040 [g / cm 3 ] or more. Ba has a density / atomic weight of 0.025 [g / cm].
For 3] and extremely low atomic number density N a low, not absorptance mask contrast is also high.

【0079】従って、グループE)の原子番号57〜7
1のLa〜Luも、波長域0.6〜1nmのシンクロト
ロン放射光を用いたX線露光において好適な吸収体材料
である。特に、Gd,Tb,Sm,Hoは、波長域0.
6〜1nmにおける吸収がIr,Pt,Au,W,Ta
よりも大きく(ウランを除く)単体元素の中で最大の吸
収とマスクコントラストが得られるため、好適な吸収体
材料である。
Accordingly, atomic numbers 57 to 7 of group E)
La to Lu of No. 1 are also suitable absorber materials in X-ray exposure using synchrotron radiation having a wavelength range of 0.6 to 1 nm. In particular, Gd, Tb, Sm, and Ho have a wavelength range of 0.1.
Absorption at 6-1 nm is Ir, Pt, Au, W, Ta
It is the preferred absorber material because it provides the largest absorption and mask contrast among the single elements (excluding uranium).

【0080】それとは対照的にグループB)の原子番号
41〜47のNb〜Agの元素は、原子数密度Na は高
いが、そのL殻吸収端がλ=2.5〜0.5nmに存在
し、吸収端の長波長側に光の波長域があるため原子散乱
因子f2 は小さくなり、マスクコントラストの値はあま
り大きくならない。
[0080] It An element Nb~Ag of atomic number 41 to 47 of Group B) In contrast, although the high atomic number density N a, in its L-shell absorption edge λ = 2.5~0.5nm exist, atomic scattering factors f 2 because of the wavelength region of light to the long wavelength side of the absorption edge becomes small, the value of the mask contrast is not so large.

【0081】またグループC)の原子番号73〜79の
従来から吸収体材料に用いられている密度の高い材料、
Ta, W, Au(16.65, 19.30, 19.32 g/cm3 )等は、
そのM4,M5吸収端が波長0.4〜0.7nmに位置
し、吸収端よりも波長が長くなると吸収は急激に減少す
るため、そのM4,M5吸収端波長より長波長域では吸
収が極端に低くなる。特にTa, Wは、吸収端がSiの
K吸収端0.6738nm近傍にあり、吸収が重なるこ
とで、Si系の物質をメンブレン材料及び窓材に用いた
とき、0.6738nm以下の光は、メンブレンにおい
て吸収(減衰)されマスクコントラストを高めるのに寄
与しないため、同じグループのIr,Pt,Auよりも
吸収、マスクコントラスト値は低くなる。
Further, a high-density material conventionally used as an absorber material having an atomic number of 73 to 79 in Group C),
Ta, W, Au (16.65, 19.30, 19.32 g / cm 3 )
The M4 and M5 absorption edges are located at wavelengths of 0.4 to 0.7 nm, and the absorption sharply decreases when the wavelength is longer than the absorption edge. Lower. In particular, Ta and W have absorption edges of Si.
Since the K absorption edge is near 0.6738 nm and the absorption is superimposed, when a Si-based material is used for the membrane material and the window material, light having a wavelength of 0.6738 nm or less is absorbed (attenuated) by the membrane to increase the mask contrast. , The absorption and mask contrast values are lower than those of Ir, Pt, and Au in the same group.

【0082】上記のことより、波長域0.6〜1nmの
シンクロトロン放射光を用いたX線露光において高いマ
スクコントラストが得られる単体元素は、原子数密度と
吸収端波長の位置の関係から 1)原子番号27〜31 Co(27)〜Ga(31) 2)原子番号57〜71 La(57)〜Lu(71) 3)原子番号72〜80 Hf(72)〜Hg(80) の上記3つのグループに分けることができる。
As described above, a single element which can provide a high mask contrast in X-ray exposure using synchrotron radiation having a wavelength range of 0.6 to 1 nm is obtained from the relationship between the atomic number density and the position of the absorption edge wavelength. 2) Atomic numbers 57-71 La (57) -Lu (71) 3) Atomic numbers 72-80 3) Atomic numbers 72-80 Hf (72) -Hg (80) Can be divided into two groups.

【0083】これらの1)〜3)のグループに属する元
素、Cu,Gd,Ta,W,Auの吸収特性を図9に示
す。Cuは、TaやWに比べ密度は低いが、原子数密度
aは高く、そのL吸収端の短波長側近傍にビームの波
長域があるため原子散乱因子f2 は大きくなり、吸収が
Ta,Wよりも波長0.7nm以上では大きくなり、よ
り高いマスクコントラストを生じることが判る。Gdも
M吸収端の短波長側近傍にビームの波長域があるため原
子散乱因子f2 は大きくなり、吸収率、マスクコントラ
ストは高くなることが明らかである。
FIG. 9 shows the absorption characteristics of the elements belonging to groups 1) to 3), Cu, Gd, Ta, W and Au. Cu has a lower density than Ta and W, but has a high atomic number density Na, and has a beam wavelength region near the short wavelength side of its L absorption edge, so that the atomic scattering factor f 2 becomes large and the absorption becomes Ta. , W at a wavelength of 0.7 nm or more, and a higher mask contrast is obtained. Gd also atomic scattering factor f 2 because there is a wavelength range of the beam on the short wavelength side near the M absorption edge increases, the absorption rate, the mask contrast it is apparent that higher.

【0084】以上の主な単体元素においてマスクコント
ラストを10とするのに必要な膜厚を、下記の(表2)
に示す。
The film thicknesses required for setting the mask contrast to 10 in the above main elements are shown in Table 2 below.
Shown in

【0085】[0085]

【表2】 [Table 2]

【0086】この(表2)から明らかなように、Cu,
Sm,Gd,Tbは、Ta及びWに比較してX線の吸収
が大きく、膜厚を薄くすることが可能である。特に、S
m,Gd,TbはAuよりも吸収が大きく薄膜化するこ
とが可能となる。これ以外の単体元素のHo,Tmにお
いてもAuよりも吸収が大きい。
As is clear from Table 2, Cu,
Sm, Gd, and Tb have a greater X-ray absorption than Ta and W, and can be made thinner. In particular, S
m, Gd, and Tb absorb more than Au and can be made thinner. Other elements such as Ho and Tm also absorb more than Au.

【0087】線幅0.2μm以下の微細なパターンの転
写においては、X線を十分に遮蔽するための吸収体の膜
厚はパターン線幅に対する比率(アスペクト比)は高く
なり、従来提案されている吸収体材料やマスク作成法で
は精度良く吸収体パターンを形成することは困難である
が、本実施形態により選択された吸収体を用いれば、露
光に用いるX線に対して吸収が大きく薄膜化が可能とな
り微細加工が容易となる。
In the transfer of a fine pattern having a line width of 0.2 μm or less, the ratio (aspect ratio) of the film thickness of the absorber for sufficiently shielding X-rays to the pattern line width is increased. Although it is difficult to form an absorber pattern with high accuracy by using an absorber material or a mask making method, if the absorber selected according to the present embodiment is used, the absorption is large for X-rays used for exposure, and the thickness is reduced. And fine processing is facilitated.

【0088】(第2の実施形態)第1の実施形態におい
ては単体で用いた元素を組み合わせることで、さらに吸
収体材料の吸収特性を改善することが可能である。即
ち、吸収体としてL殻吸収端を露光波長域0.6〜1n
mよりも長波長側(0.75〜1.6nm)に持つ原子
番号27〜31(Co〜Ga)のグループ1)の何れか
の元素と、M殻吸収端を露光波長域0.6〜1nmより
も短波長側(0.5〜0.75nm)に持つ原子番号7
2〜80(Hf〜Hg)のグループ3)の何れかの元素
とを組み合わせた合金又は積層膜は、波長域0.6〜1
nmのシンクロトロン放射光に対して吸収が大きく、吸
収体の膜厚を厚くしなくても高いマスクコントラストが
得られる材料となるため好適な吸収体材料である。
(Second Embodiment) In the first embodiment, by combining elements used alone, it is possible to further improve the absorption characteristics of the absorber material. That is, the absorption edge of the L-shell is set to an exposure wavelength range of 0.6 to 1 n as an absorber.
any one of the elements of atomic numbers 27 to 31 (Co to Ga) 1) having a longer wavelength (0.75 to 1.6 nm) than m and an M-shell absorption edge having an exposure wavelength range of 0.6 to Atomic number 7 having a wavelength shorter than 1 nm (0.5 to 0.75 nm)
An alloy or a laminated film in which any one of the elements in the group 3) of 2 to 80 (Hf to Hg) is combined has a wavelength range of 0.6 to 1 (Hf to Hg).
It is a suitable absorber material because it has a large absorption for synchrotron radiation of nm and can provide a high mask contrast without increasing the thickness of the absorber.

【0089】同様に、M殻吸収端を露光波長域0.6〜
1nmよりも長波長側(0.75〜1.6nm)に持つ
原子番号57〜71のランタノイド系希土類元素(La
〜Lu)のグループ2)の何れかの元素と、M殻吸収端
を露光波長域0.6〜1nmよりも短波長側(0.5〜
0.75nm)に持つ原子番号72〜80(Hf〜H
g)のグループ3)の何れかの元素とを組み合わせた合
金又は積層膜は、波長域0.6〜1nmのシンクロトロ
ン放射光に対して吸収が大きく、吸収体の膜厚を厚くし
なくても高いマスクコントラストが得られる材料となる
ため好適な吸収体材料である。
Similarly, the M shell absorption edge is set to an exposure wavelength range of 0.6 to
Lanthanoid rare earth elements (La) having atomic numbers 57 to 71 having a wavelength longer than 1 nm (0.75 to 1.6 nm)
To Lu) and any one of the elements in group 2), and the M-shell absorption edge is set to a wavelength shorter than the exposure wavelength range of 0.6 to 1 nm (0.5 to 0.5 nm).
Atomic numbers 72 to 80 (Hf to H) at 0.75 nm)
An alloy or a laminated film in which any one of the elements in group 3) of g) is combined has a large absorption for synchrotron radiation in a wavelength range of 0.6 to 1 nm, and the thickness of the absorber is not increased. Is a material that can provide a high mask contrast, and is a suitable absorber material.

【0090】特に、グループ2)の単体元素のSm,G
d,Tb,Dy,Hoとグループ3)の単体元素のI
r,Pt,Auとの組み合わせは、それぞれの元素のマ
スクコントラストが高いために化合物の構成元素として
も好適な材料である。例えば、AuとSmの組み合わせ
おいては、SmはAuよりも吸収が大きく(膜厚0.4
μmでマスクコントラストSm:4.73〜4.94、
Au:4.29〜4.50)、その吸収特性が変化する
ことによりマスクコントラストを非常に高くすることが
可能である(Sm3 Au2 :5.78〜6.10)。2
元化合物の密度を単体元素のそれぞれの密度から組成比
に合わせて積分し、吸収体の膜厚を0.4μm 、メン
ブレン厚を1μmとしたときの合金、化合物のマスクコ
ントラストの計算結果を、下記の(表3)に示す。
In particular, Sm, G of the simple elements of group 2)
d, Tb, Dy, Ho and I of the simple element of group 3)
The combination with r, Pt, and Au is a suitable material as a constituent element of the compound because the mask contrast of each element is high. For example, in the combination of Au and Sm, Sm absorbs more than Au (film thickness 0.4
μm, mask contrast Sm: 4.73 to 4.94;
Au: 4.29 to 4.50), it is possible to very high mask contrast by its absorption characteristics change (Sm 3 Au 2: 5.78~6.10) . 2
The density of the original compound is integrated according to the composition ratio from the density of each elemental element according to the composition ratio, and the calculation result of the mask contrast of the alloy and compound when the absorber film thickness is 0.4 μm and the membrane thickness is 1 μm is as follows. (Table 3).

【0091】[0091]

【表3】 [Table 3]

【0092】この(表3)の合金、化合物のマスクコン
トラストの値は、何れの単体元素における値よりも高く
なり、単体元素に比較して非常に大きなマスクコントラ
ストが得られる。図10には、Gd1-x Aux の組成を
変化させたときの吸収特性及びマスクコントラストの変
化を示し、吸収特性の変化に応じてマスクコントラスト
も改善されることが判る。
The values of the mask contrast of the alloys and compounds in Table 3 are higher than those of any single element, and a very large mask contrast is obtained as compared with the single element. Figure 10 shows the change in absorption characteristics and the mask contrast when changing the composition of Gd 1-x Au x, it can be seen that the improved mask contrast depending on the change in absorption characteristics.

【0093】(表3)以外の組み合わせの化合物におい
ても、Ta(73)においては、 1)原子番号30〜33番までの元素 Zn(30)〜As(33) 2)原子番号56〜72番までの元素 Ba(56)〜Hf(72) 3)原子番号75番以降の元素 Re(75)〜Bi(83) との2元化合物において、構成する何れの元素よりも高
いマスクコントラストとなる。
In compounds of combinations other than those shown in Table 3, in Ta (73), 1) elements of atomic numbers 30 to 33 Zn (30) to As (33) 2) atomic numbers 56 to 72 3) In the binary compound of elements Ba (56) to Hf (72) 3) elements Re (75) to Bi (83) with atomic number 75 or higher, the mask contrast becomes higher than any of the constituent elements.

【0094】同様にW(74)においても、 1)原子番号32,33番の元素 Ge(32),As(33) 2)原子番号56〜72番までの元素 Ba(56)〜Hf(72) 3)原子番号75〜79番までの元素 Re(75)〜Au(79) との2元化合物においても何れの元素よりも高いマスク
コントラストとなる。
Similarly, for W (74), 1) the elements Ge (32), As (33) with atomic numbers 32 and 33 2) the elements Ba (56) to Hf (72) with atomic numbers 56 to 72 3) Elements with atomic numbers from 75 to 79 Even in a binary compound with Re (75) to Au (79), the mask contrast becomes higher than any of the elements.

【0095】また、Re(75),Os(76)においては、 原子番号56〜79番までの元素 Ba(56)〜Au(79) Ir(77) においては、 原子番号56〜78番までの元素 Ba(56)〜Pt(78) Pt(78),Au(79)は、 原子番号56〜77番までの元素 Ba(56)〜Ir(77) との2元化合物において、高いマスクコントラストとな
る。従って、これらの合金、化合物は、吸収体膜厚の薄
膜化に有効な物質であり、好適な吸収体材料となる。
In Re (75) and Os (76), the elements Ba (56) to Au (79) Ir (77) having the atomic numbers 56 to 79 have the atomic numbers 56 to 78. The elements Ba (56) to Pt (78) Pt (78) and Au (79) have high mask contrast and high binary contrast with the elements Ba (56) to Ir (77) with atomic numbers 56 to 77. Become. Therefore, these alloys and compounds are effective substances for reducing the thickness of the absorber and are suitable absorber materials.

【0096】以上の主な合金、化合物の吸収体において
マスクコントラストを10とするのに必要な膜厚を、下
記の(表4)に示す。
The following Table 4 shows the film thicknesses necessary for setting the mask contrast to 10 in the absorbers of the above main alloys and compounds.

【0097】[0097]

【表4】 [Table 4]

【0098】この(表4)から明らかなように、合金、
化合物においては、何れの単体元素よりも吸収が大きく
なり、さらに薄膜化が可能となり、(表3)でマスクコ
ントラスト6.50以上の物質Gd11Ir9 ,Gd3
2 ,Gd11Pt9 等において必要な膜厚が500nm
以下と大幅に膜厚を薄くできる。従って、本実施形態に
より提案された合金、化合物材料を吸収体に用いたと
き、波長域0.6〜1nmの露光光に対して吸収が大き
く、吸収体材料の薄膜化が可能となり、マスク製作にお
ける微細加工が容易となる。
As is clear from Table 4, the alloy,
In the compound, the absorption is larger than any single element, and a thinner film can be obtained. In Table 3, substances Gd 11 Ir 9 and Gd 3 A having a mask contrast of 6.50 or more are shown.
The required film thickness of u 2 , Gd 11 Pt 9, etc. is 500 nm
The film thickness can be greatly reduced as follows. Therefore, when the alloy or compound material proposed by the present embodiment is used for the absorber, the absorption is large for the exposure light in the wavelength range of 0.6 to 1 nm, and the absorber material can be made thinner, and the mask can be manufactured. The microfabrication in becomes easy.

【0099】(第3の実施形態)次に、吸収体とマスク
基板を透過したX線のそれぞれの位相φ1 とφ2 のシフ
ト量の差|φ1 −φ2 |が、解像性に優れる露光波長域
0.6〜1nmの露光において、その波長帯域にわたり
一定となり、また同時に高いマスクコントラストも得ら
れる吸収体材料を用いたシンクロトロン放射光に対して
好適な位相シフトマスクの提案及びそのマスクを用いた
X線露光方法の実施形態を、以下に説明する。
(Third Embodiment) Next, the difference | φ 1 −φ 2 | between the shift amounts of the phases φ 1 and φ 2 of the X-ray transmitted through the absorber and the mask substrate is determined by the resolution. Proposal of a phase shift mask suitable for synchrotron radiation using an absorber material which is constant over the wavelength band in exposure in an excellent exposure wavelength range of 0.6 to 1 nm, and at the same time also provides a high mask contrast, and An embodiment of an X-ray exposure method using a mask will be described below.

【0100】はじめに、露光波長域0.6〜1nmのシ
ンクロトロン放射光の露光において、吸収体とマスク基
板を透過したX線のそれぞれの位相φ1 とφ2 のシフト
量の差|φ1 −φ2 |が波長に対して大きく変化しない
好適な吸収体材料について説明する。
First, in exposure to synchrotron radiation in an exposure wavelength range of 0.6 to 1 nm, the difference | φ 1 − between the phase φ 1 and the phase φ 2 of the X-ray transmitted through the absorber and the mask substrate. A preferred absorber material in which φ 2 | does not significantly change with wavelength will be described.

【0101】シンクロトロン放射光の好適な波長域は
0.6〜1nmであり、ここで実施形態で用いているシ
ンクロトロン放射光の波長域も0.62〜1.02nm
であり、好適な露光光源である。しかしながら、このシ
ンクロトロン放射光を光源とした露光においては、取り
出し窓にBe窓(平均膜厚25μm)、Si3 4
(平均膜厚1.5μm)、ダイヤモンド窓(平均膜厚
1.0μm)を用いており、それらの材料を透過した後
の強度スペクトルにおける最大強度から1/10の強度
までの波長域は、0.654〜1.015nmとなる。
Siは、その吸収端を波長0.674nmに持つため、
窓材やメンブレン材にSi系の物質を用いた通常の露光
条件において、波長0.674nm以下の露光光は吸収
・減衰してしまう。従って、露光に対しては大きな影響
を与えないため、ここで露光に寄与する波長域は0.6
54〜1.015nmとなり、この実効的な波長域で好
適な吸収体材料であることが望まれる。
The preferred wavelength range of the synchrotron radiation is 0.6 to 1 nm, and the wavelength range of the synchrotron radiation used in the embodiment is also 0.62 to 1.02 nm.
Which is a suitable exposure light source. However, in the exposure using the synchrotron radiation as a light source, a Be window (average film thickness: 25 μm), a Si 3 N 4 window (average film thickness: 1.5 μm), and a diamond window (average film thickness: 1.0 μm) are used as extraction windows. ) Is used, and the wavelength range from the maximum intensity to 1/10 of the intensity spectrum in the intensity spectrum after passing through those materials is 0.654 to 1.015 nm.
Since Si has its absorption edge at a wavelength of 0.674 nm,
Under ordinary exposure conditions using a Si-based material for a window material or a membrane material, exposure light having a wavelength of 0.674 nm or less is absorbed and attenuated. Therefore, the wavelength region contributing to the exposure is 0.6 here because it does not significantly affect the exposure.
It is 54 to 1.015 nm, and it is desired that the absorber material is suitable in this effective wavelength range.

【0102】下記に示す(表5)は、波長域0.654
〜1.015nmにおける吸収体の位相シフト量の平均
がπとなるように膜厚da を設定したときの、様々な単
体元素の位相シフト量の分散結果をΔφとして示してい
る(Δφ:位相シフト量のπからの変位)。
The following (Table 5) shows a wavelength range of 0.654.
Shows average amount of phase shift of the absorber in ~1.015nm is at the time of setting the film thickness d a such that [pi, the dispersion result of the phase shift amounts of the various single element as [Delta] [phi ([Delta] [phi: Phase Displacement of the shift amount from π).

【0103】[0103]

【表5】 [Table 5]

【0104】(表5)では他に、各元素の吸収端の波
長、膜厚0.4μmにおいてそれぞれのメンブレン材料
に対して得られるマスクコントラストを示している。こ
の(表5)において、ビーム波長域内に吸収端を含む元
素と含まない元素において位相特性に大きな違いがあ
り、吸収端を含まない元素において位相シフト量の分散
が小さいことが判る。
Table 5 also shows the mask contrast obtained for each membrane material at a wavelength at the absorption edge of each element and a film thickness of 0.4 μm. In this (Table 5), it can be seen that there is a large difference in the phase characteristics between the element including the absorption edge and the element not including the absorption edge within the beam wavelength range, and the dispersion of the phase shift amount is small in the element not including the absorption edge.

【0105】図11及び下記の(表6)にはビーム波長
域内に吸収端を含む元素と含まない元素の代表的な吸収
体の位相変化の特性を示しており、吸収端を含む元素T
a,Wは大きく位相が変化し、ビーム光の波長全域にわ
たって位相をπ変化させることは困難であり、一方A
u,Cu,Ni,Zn,Cu−SiO2 (SiO2 膜へ
のCuの埋め込み)の吸収端を含まない元素は、位相変
化は波長に対して小さく、ほぼ制御できることが判る。
FIG. 11 and Table 6 below show the phase change characteristics of typical absorbers of the element including the absorption edge and the element not including the absorption edge within the beam wavelength range.
The phases of a and W greatly change, and it is difficult to change the phase by π over the entire wavelength range of the light beam.
It can be seen that the phase change of elements that do not include the absorption edges of u, Cu, Ni, Zn, and Cu—SiO 2 (embedding Cu in the SiO 2 film) is small with respect to the wavelength and can be substantially controlled.

【0106】[0106]

【表6】 [Table 6]

【0107】波長域内に吸収端を含まない元素は、波長
域内で急激な屈折率変化がないため、π位相シフトする
ための膜厚も波長に対する変化も小さく、その位相制御
は可能となる。このため、位相シフトマスクの吸収体材
料として好適である。X線露光において、波長域が0.
6〜1nmに存在するX線源を用いた場合、 1)Group I 原子番号27〜31 Co(27)〜Ga(31) 2)Group II 原子番号41〜52 Nb(41)〜Te(52) 3)Group III 原子番号57〜63 La(57)〜Eu(63) 4)Group IV 原子番号76〜92 Os(76)〜U(92) の4つのグループの元素は、その吸収端がこの波長域に
含まれず位相シフト制御の行い易い物質であり、いかな
る所望位相差に対しても膜厚を変えることにより制御す
ることを可能とするため、位相シフト効果を持ったX線
露光用マスクの吸収体材料に好適な材料である。また、
これらの材料の中で第1の実施形態において示された吸
収の大きい材料にも含まれるものは、 原子番号27〜31のCo(27)〜Ga(31) 原子番号57〜63のLa(57)〜Eu(63) 原子番号76〜80のOs(76)〜Hg(80) であり、これらの物質は波長域0.6〜1nmのシンク
ロトロン放射光を用いたX線露光において、位相特性及
び吸収特性の何れにも優れた非常に好適な吸収体材料で
ある。これ以外の単体元素のFr(87)、原子番号89〜
92のAc(89)〜U(92)も、同様に位相特性及び吸収特
性に優れた材料であるが、稀少で入手が困難、且つ高価
であるため実用的ではないため、ここでは除いて説明し
ている。
An element that does not include an absorption edge in the wavelength range does not have a sharp change in the refractive index in the wavelength range, so that the film thickness for π phase shift and the change with respect to wavelength are small, and the phase can be controlled. Therefore, it is suitable as an absorber material for a phase shift mask. In X-ray exposure, the wavelength range is 0.
When an X-ray source existing at 6-1 nm is used, 1) Group I atomic numbers 27-31 Co (27) -Ga (31) 2) Group II atomic numbers 41-52 Nb (41) -Te (52) 3) Group III atomic numbers 57 to 63 La (57) to Eu (63) 4) Group IV atomic numbers 76 to 92 Os (76) to U (92) It is a substance that is not included in the region and that can be easily subjected to phase shift control. In order to make it possible to control any desired phase difference by changing the film thickness, an X-ray exposure mask having a phase shift effect is absorbed. It is a suitable material for the body material. Also,
Among these materials, those which are also included in the materials having high absorption shown in the first embodiment include Co (27) to Ga (31) having atomic numbers 27 to 31 and La (57) having atomic numbers 57 to 63. ) To Eu (63) Os (76) to Hg (80) having atomic numbers of 76 to 80, and these substances exhibit phase characteristics in X-ray exposure using synchrotron radiation having a wavelength range of 0.6 to 1 nm. And it is a very suitable absorber material excellent in both of the absorption characteristics. Other elemental elements Fr (87), atomic number 89-
Ac (89) to U (92) of No. 92 are also excellent in phase characteristics and absorption characteristics, but they are rare, difficult to obtain, and expensive, and are not practical. are doing.

【0108】次に、上記の位相シフト量の制御性に優
れ、位相シフトマスクの吸収体材料に好適な吸収体材料
について以下に説明する。
Next, an absorber material which is excellent in the controllability of the above-mentioned phase shift amount and is suitable for the absorber material of the phase shift mask will be described below.

【0109】波長域0.6〜1nmのシンクロトロン放
射光源において全帯域にわたる制御は困難である単体元
素としては、波長域0.654〜1.015nm内に吸
収端を含む以下のような元素: 1)原子番号12〜14 Mg(12)〜Si(14) K殻吸
収端 2)原子番号32〜37 Ge(32)〜Rb(37) L殻吸
収端 3)原子番号64〜75 Gd(64)〜Re(75) M殻吸
収端 である。これは、吸収端波長において急激な屈折率変化
が生じるため、π位相シフトするための膜厚も波長に対
して大きく変化しているためである。X線露光における
代表的な吸収体材料、Ta(73),W(74)はこれらの中に
含まれ、 Ta(タンタル:原子番号73) M殻吸収端波長:M4:0.687nm ,M5:0.711nm π位相吸収体膜厚:da =679.50nm(マスクコントラス
ト:7.20〜7.55) π位相シフト量:|φ1 −φ2 |≦π±0.54π(波長
0.654〜1.015 nm) W(タングステン:原子番号74) M殻吸収端波長:M4:0.659nm,M5:0.6
83nm π位相吸収体膜厚:da =581.70nm(マスクコントラス
ト:6.77〜7.08) π位相シフト量:|φ1 2 |≦π±0.56π(波長 0.65
4〜1.015 nm) となり、位相はこの波長域内でπ/2以上変化し、これ
らの物質は特性X線を利用した光源による露光において
は、位相を膜厚により制御することはできるが、シンク
ロトロン放射光源においては波長域全域にわたる制御は
困難である。
As a simple element which is difficult to control over the entire band in a synchrotron radiation light source having a wavelength range of 0.6 to 1 nm, the following elements containing an absorption edge in a wavelength range of 0.654 to 1.015 nm are as follows: 1) Atomic number 12-14 Mg (12) -Si (14) K-shell absorption edge 2) Atomic number 32-37 Ge (32) -Rb (37) L-shell absorption edge 3) Atomic number 64-75 Gd (64 ) To Re (75) M shell absorption edge. This is because a sharp change in the refractive index occurs at the absorption edge wavelength, and the film thickness for π-phase shift also greatly changes with the wavelength. Representative absorber materials for X-ray exposure, Ta (73) and W (74) are included in these, Ta (tantalum: atomic number 73), M-shell absorption edge wavelength: M4: 0.687 nm, M5: 0.711 nm π phase absorber film thickness: d a = 679.50 nm (mask contrast: 7.20 to 7.55) π phase shift amount: | φ 1 −φ 2 | ≦ π ± 0.54π (wavelength
0.654 to 1.015 nm) W (tungsten: atomic number 74) M-shell absorption edge wavelength: M4: 0.659 nm, M5: 0.6
83 nm π phase absorber film thickness: d a = 581.70 nm (mask contrast: 6.77 to 7.08) π phase shift amount: | φ 12 | ≦ π ± 0.56π (wavelength 0.65
The phase changes by π / 2 or more within this wavelength range, and the phase of these substances can be controlled by film thickness when exposed to a light source using characteristic X-rays. It is difficult to control a radiation light source over the entire wavelength range.

【0110】一方、波長域内に吸収端を含まない元素
は、波長域内で急激な屈折率変化がないため、π位相シ
フトするための膜厚も波長に対する変化も小さく、その
位相制御は可能となる。X線露光において、波長域が
0.6〜1nmに存在するX線源を用いた場合、上記の
グループIのCo(27)〜Ga(31)、グループIIのNb(4
1)〜Te(52)、グループIII のLa(57)〜Eu(63)、グ
ループIVのOs(76)〜U(92)の計4つのグループに分け
られる。
On the other hand, since an element which does not include an absorption edge in the wavelength region does not have a sharp change in the refractive index in the wavelength region, the film thickness for the π phase shift and the change with respect to the wavelength are small, and the phase can be controlled. . In X-ray exposure, when an X-ray source having a wavelength range of 0.6 to 1 nm is used, Co (27) to Ga (31) of Group I and Nb (4
1) to Te (52), La (57) to Eu (63) of Group III, and Os (76) to U (92) of Group IV.

【0111】次に、これらの4つのグループの単体元素
について、吸収特性を含めて個々に説明する。
Next, the individual elements of these four groups will be described individually, including their absorption characteristics.

【0112】1)Group I(原子番号27〜31:Co
(27)〜Ga(31)) このグループの元素は、その密度は5.90〜8.93
g/cm3 と従来用いられてきた吸収体材料に比べ低い
が、原子数密度Na は高く、またL殻の吸収端がλ=1
〜1.6nmに存在し、吸収端の短波長側近傍にシンク
ロトロン放射光の波長域があるため原子散乱因子f2
大きくなり、吸収α及びマスクコントラストの値も大き
くなる。波長域0.654〜1.015nmにおけるπ
位相シフト膜厚da としたときのこのグループの位相シ
フトの変化を、図12及び下記の(表7)に示す。
1) Group I (atomic numbers 27 to 31: Co
(27) to Ga (31)) The elements of this group have a density of 5.90 to 8.93.
g / cm 3 , which is lower than that of a conventionally used absorber material, but has a higher atomic number density Na, and the absorption edge of the L shell has λ = 1.
Present in ~1.6Nm, atomic scattering factor f 2 because of the wavelength region of synchrotron radiation in the short wavelength side near the absorption edge increases, also increases the value of the absorption α and the mask contrast. Π in a wavelength range of 0.654 to 1.015 nm
The change of the phase shift of this group when the phase shift film thickness d a, shown in Figure 12 and the following (Table 7).

【0113】[0113]

【表7】 [Table 7]

【0114】位相シフト量のπからの変位は Δφ=
0.07〜0.18πであり、このグループの元素はこ
の波長域の露光において位相シフト量の制御が行える。
特に、Cu,Zn,Gaは位相シフト量をπ±0.13
π,π±0.10π,π±0.07πにそれぞれ制御で
き、いかなる所望位相差に対しても膜厚を変えることで
精度良く制御することが可能であり、マスクコントラス
トも高いことから、この露光波長域において好適な吸収
体材料である。
The displacement of the phase shift amount from π is Δφ =
0.07 to 0.18π, and the elements in this group can control the amount of phase shift in exposure in this wavelength range.
In particular, Cu, Zn, and Ga have a phase shift of π ± 0.13.
π, π ± 0.10π, π ± 0.07π, and it is possible to precisely control any desired phase difference by changing the film thickness, and the mask contrast is high. It is a suitable absorber material in the exposure wavelength range.

【0115】2)Group II(原子番号41〜52: N
b(41)〜Te(52)) このグループのNb(41)〜Te(52)元素は、そのL殻吸
収端がλ=0.25〜0.50nmに存在し、吸収端の
長波長側にシンクロトロン放射光の波長域があるため原
子散乱因子f2 も小さくなり、吸収α及びマスクコント
ラストの値も小さくなる。波長域0.654〜1.01
5nmにおける膜厚da としたときのこのグループの元
素の位相シフトの変化を、図13及び下記の(表8)に
示す。
2) Group II (atomic numbers 41 to 52: N
b (41) to Te (52)) The Nb (41) to Te (52) elements of this group have an L-shell absorption edge at λ = 0.25 to 0.50 nm and have a longer wavelength at the absorption edge. Has a wavelength range of synchrotron radiation, the atomic scattering factor f 2 also decreases, and the values of absorption α and mask contrast also decrease. Wavelength range 0.654 to 1.01
A change in the phase shift elements in this group when the film thickness d a of 5 nm, 13 and below (Table 8).

【0116】[0116]

【表8】 [Table 8]

【0117】位相シフト量のπからの変位はΔφ=0.
18〜0.24πであり、グループIの物質と比較する
と変位量は大きく、またマスクコントラストも低くな
る。しかしながら、このグループの元素のRu(44),R
h(45),Pd(46)は、密度が比較的高く(12.06〜
12.44g/cm3 )、π位相シフトさせるために必
要な膜厚は、474.14〜487.46nmと非常に
薄くできるため、これらの元素は吸収も大きく好適な吸
収体材料である。
The displacement of the phase shift amount from π is Δφ = 0.
18 to 0.24π, the displacement is large and the mask contrast is low as compared with the group I substances. However, the Ru (44), R
h (45) and Pd (46) have relatively high densities (12.06-
12.44 g / cm 3 ), and the film thickness required for π phase shift can be extremely thin, 474.14 to 487.46 nm. Therefore, these elements have high absorption and are suitable absorber materials.

【0118】3)Group III (原子番号57〜63:
La(57)〜Eu(63)) La(57)〜Eu(63)元素は、何れも希土類元素に属し、
その密度は5.24〜7.52g/cm3 と低いが、グ
ループIと同様にその吸収端(この場合、M殻)がλ=
1.1〜1.5nmに存在し、吸収端の短波長側にシン
クロトロン放射光の波長域があるため原子散乱因子f2
は大きくなり、吸収α及びマスクコントラストの値も大
きくなる。また、原子番号の増加と共にM殻吸収端の波
長は短くなり、原子番号64〜69のGd〜Tmの吸収
端とシンクロトロン放射光の波長域が重なり、吸収及び
マスクコントラスト値は非常に大きくなる。
3) Group III (atomic numbers 57 to 63:
La (57) to Eu (63)) The La (57) to Eu (63) elements all belong to rare earth elements,
Its density is as low as 5.24 to 7.52 g / cm 3 , but its absorption edge (M shell in this case) is λ =
Since the wavelength is within 1.1 to 1.5 nm and the wavelength region of synchrotron radiation is on the short wavelength side of the absorption edge, the atomic scattering factor f 2
Increases, and the values of the absorption α and the mask contrast also increase. Further, as the atomic number increases, the wavelength of the M-shell absorption edge becomes shorter, the absorption edges of Gd to Tm of atomic numbers 64 to 69 overlap with the wavelength range of synchrotron radiation, and the absorption and mask contrast values become extremely large. .

【0119】密度が低いため膜厚に対する屈折率変化は
小さく、π位相シフトさせるための膜厚は、985.8
8〜1611.3nmと厚くしなければならないが、位
相シフト量のπからの変位量は|Δφ|=0.03〜
0.14πと何れの元素も位相制御性に優れ、膜厚を変
えることで精度良くいかなる所望位相差にも制御するこ
とが可能であり、また吸収も大きいことからLa(57)〜
Eu(63)元素は位相シフトマスクの吸収体に好適な材料
である(図14及び(表9)参照)。
Since the density is low, the change in the refractive index with respect to the film thickness is small, and the film thickness for π phase shift is 985.8.
8 to 1611.3 nm, but the amount of displacement of the phase shift from π is | Δφ | = 0.03 to
0.14π is excellent in phase controllability, it is possible to precisely control any desired phase difference by changing the film thickness, and La (57) ~
The Eu (63) element is a suitable material for the absorber of the phase shift mask (see FIG. 14 and (Table 9)).

【0120】[0120]

【表9】 [Table 9]

【0121】4)Group IV(原子番号76〜92:Os
(76)〜U(92)) このグループのOs(76)〜Au(79)元素は、その密度が
19.32〜22.57g/cm3 と非常に高く、吸収
α及びマスクコントラストの値も大きくなる。しかしな
がら、そのM殻の吸収端はλ=0.3〜0.6nmに存
在し、吸収端の長波長側にシンクロトロン放射光の最大
光強度波長があるため原子散乱因子f2は吸収端の短波
長及び近傍に比べ低くなるため、波長域内の吸収はグル
ープIのCuと顕著な差は生じない。
4) Group IV (atomic numbers 76 to 92: Os)
(76) to U (92)) The Os (76) to Au (79) elements in this group have very high densities of 19.32 to 22.57 g / cm 3, and also have values of absorption α and mask contrast. growing. However, the absorption edge of the M shell is present in λ = 0.3~0.6nm, atomic scattering factor f 2 because of the maximum light intensity wavelength of synchrotron radiation in the long wavelength side of the absorption edge of the absorption edge The absorption in the wavelength range does not differ significantly from that of Cu of Group I because it is lower than at short wavelengths and near.

【0122】密度が高いため、膜厚に対する屈折率変化
は大きく、π位相シフトさせるための膜厚da を40
3.70〜441.03nmと薄くすることができる。
波長域0.654〜1.015nmにおける膜厚da
したときのこのグループの位相シフトの変化を、図15
及び下記の(表10)に示す。
[0122] Since the density is high, large refractive index change with respect to the film thickness, the thickness d a of the order to π phase shift 40
It can be as thin as 3.70 to 441.03 nm.
The change of the phase shift of this group when the film thickness d a of the wavelength region 0.654~1.015Nm, 15
And (Table 10) below.

【0123】[0123]

【表10】 [Table 10]

【0124】位相シフト量のπからの変位はΔφ=0.
12〜0.36πである。吸収体材料に用いられている
PtやAuは、それぞれΔφ=0.27,0.25πで
あり、グループIの元素と比較すると変位量は大きく、
膜厚を変えることで所望の位相差を精度良く制御するこ
とは困難である(図15参照)。
The displacement of the phase shift amount from π is Δφ = 0.
12 to 0.36π. Pt and Au used for the absorber material are Δφ = 0.27 and 0.25π, respectively, and the displacement amount is large as compared with the group I elements.
It is difficult to accurately control the desired phase difference by changing the film thickness (see FIG. 15).

【0125】以上のグループIからグループIVの4つの
グループに属する単体元素の結果を(表11)にまとめ
て示す。
The results of the simple elements belonging to the four groups I to IV are summarized in (Table 11).

【0126】[0126]

【表11】 [Table 11]

【0127】ここでは、π位相シフトさせるのに必要な
吸収体の膜厚と、そのとき得られる位相シフト分散及び
マスクコントラスト(括弧内はメンブレン厚1μm、吸
収体膜厚0.4μmのときのマスクコントラスト値)を
示している。
Here, the film thickness of the absorber required to shift the phase by π, the phase shift dispersion and the mask contrast obtained at that time (the values in the parentheses are the mask thickness when the membrane thickness is 1 μm and the absorber film thickness is 0.4 μm). Contrast value).

【0128】この(表11)に示される好適な元素の中
でも特に、以下の2条件 1)位相シフト量の制御性に優れる(Δφ=0.20
π)。
Among the preferred elements shown in Table 11, in particular, the following two conditions 1) excellent controllability of the amount of phase shift (Δφ = 0.20)
π).

【0129】2)マスクコントラストが高い(吸収体膜
厚0.4μmのとき2.80以上)。
2) High mask contrast (2.80 or more when absorber thickness is 0.4 μm).

【0130】を満たす単体元素は、 Group Iの Co,Ni,Cu,Zn Group IIの Rh,Pd,Ag GroupIIIの La,Ce,Pr,Nd,Pm,Sm,E
u Group IVの At,Rn,Fr,Ac,Th,Pa,U である。従って、これらの単体元素は位相シフト効果を
利用して転写パターンの解像度を向上するのに好適な吸
収体材料である。
The elemental elements satisfying the conditions are Co, Ni, Cu of Group I, Rh, Pd of Zn Group II, La, Ce, Pr, Nd, Pm, Sm, E of Ag Group III.
u Group IV At, Rn, Fr, Ac, Th, Pa, U. Therefore, these simple elements are suitable absorber materials for improving the resolution of the transfer pattern by utilizing the phase shift effect.

【0131】また、更にこれらの単体元素の中で、位相
シフト量の制御性に優れ、吸収体膜厚が薄くマスクコン
トラストの値も適切な位相シフトマスクの吸収体材料に
望まれる条件を下記の条件、 1)Δφ≦0.125π(0.92≦|cosφ|≦
1) 露光波長域内の波長に対する位相シフト量の最大及び最
小位相シフト量が露光波長域内の平均位相シフト量の±
12.5%以内。
Further, among these simple elements, the conditions desired for an absorber material of a phase shift mask having excellent controllability of the amount of phase shift, a small thickness of the absorber and a suitable mask contrast value are as follows. Conditions, 1) Δφ ≦ 0.125π (0.92 ≦ | cosφ | ≦
1) The maximum and minimum phase shift amounts with respect to wavelengths within the exposure wavelength range are ±± the average phase shift amount within the exposure wavelength range.
Within 12.5%.

【0132】2)π位相シフト膜厚におけるマスクコン
トラスト値Cが10程度 3)π位相シフト膜厚da ≦1000nm (ライン&スペースパターンの幅 0.1μmにおいてアス
ペクト比10以下)にすると、露光波長域0.65〜1.
02nmのX線露光において、これらの全ての条件を満
たす好適な吸収体材料は、 Cu(銅:原子番号29) π位相吸収体膜厚:da =612.40nm(マスクコントラス
ト:7.19〜7.77) π位相シフト量:|φ1 2 |≦π±0.125π Zn(亜鉛:原子番号30) π位相吸収体膜厚:da =790.25nm(マスクコントラス
ト:9.36〜10.23 ) π位相シフト量:|φ1 2 |≦π±0.10π である。従って、CuとZnが露光波長域0.6〜1n
mのシンクロトロン放射光を用いたX線露光に最適な吸
収特性及び位相特性を持つ単体元素であることが示され
た。
2) When the mask contrast value C at the π phase shift film thickness is about 10 3) When the π phase shift film thickness d a ≦ 1000 nm (the aspect ratio is 10 or less at a line and space pattern width of 0.1 μm), the exposure wavelength range 0.65-1.
For X-ray exposure at 02 nm, a suitable absorber material that satisfies all these conditions is Cu (copper: atomic number 29) π Phase absorber film thickness: d a = 612.40 nm (mask contrast: 7.19 to 7.77) π Phase shift amount: | φ 12 | ≦ π ± 0.125π Zn (zinc: atomic number 30) π phase absorber film thickness: d a = 790.25 nm (mask contrast: 9.36 to 10.23) π phase shift amount: | Φ 12 | ≦ π ± 0.10π. Therefore, Cu and Zn have an exposure wavelength range of 0.6 to 1 n.
It was shown to be a simple element having optimal absorption and phase characteristics for X-ray exposure using m synchrotron radiation.

【0133】ここで、波長域0.6〜1nmのシンクロ
トロン放射光に対して、Cu,Znは吸収特性及び位相
特性に優れ、X線露光用マスクの吸収体材料として好適
であることが示された。しかし、特開平5−13309
号公報で提案されているように、これらの材料を波長域
1〜1.5nmのシンクロトロン放射光に対して用いた
場合、これらの物質は吸収端をこの波長域内、或いはこ
の波長域近傍に含むため、図16に示すように、位相シ
フト量は波長に対して大きく変化する。このため、位相
シフト量の制御は困難であり、位相シフト効果を十分に
得ることは期待できない。
Here, for synchrotron radiation in the wavelength range of 0.6 to 1 nm, Cu and Zn have excellent absorption characteristics and phase characteristics and are suitable as an absorber material for an X-ray exposure mask. Was done. However, JP-A-5-13309
When these materials are used for synchrotron radiation having a wavelength range of 1 to 1.5 nm as proposed in the above publication, these materials have their absorption edges in or near this wavelength range. Therefore, as shown in FIG. 16, the amount of phase shift greatly changes with wavelength. Therefore, it is difficult to control the amount of phase shift, and it cannot be expected that a sufficient phase shift effect is obtained.

【0134】各吸収体の波長域1〜1.5nmにおける
位相シフト量、平均位相シフト量からの変位、変位の割
合を下記の(表12)に示す。
The following Table 12 shows the phase shift amount, the displacement from the average phase shift amount, and the ratio of the displacement in the wavelength range of 1 to 1.5 nm of each absorber.

【0135】[0135]

【表12】 [Table 12]

【0136】従って、波長域1〜1.5nmを露光波長
域とするシンクロトロン放射光による露光においては、
Co,Ni,Cu,Znを吸収体とするX線露光用マス
クを用いた場合、この波長域のX線に対して吸収は大き
いが位相制御が難しく、転写パターンの解像度は、波長
域0.6〜1nmを露光波長域とするシンクロトロン放
射光を用いた露光の方が高くなる。
Therefore, in exposure with synchrotron radiation having an exposure wavelength range of 1 to 1.5 nm,
When an X-ray exposure mask using Co, Ni, Cu, and Zn as absorbers is used, the absorption of X-rays in this wavelength range is large, but phase control is difficult, and the resolution of the transfer pattern is 0. Exposure using synchrotron radiation having an exposure wavelength range of 6 to 1 nm is higher.

【0137】(第4の実施形態)吸収端を露光光の波長
域0.6〜1nmの短波長側0.2〜0.6nmに持つ
グループII(原子番号41〜52:Nb〜Te)及びIV
(原子番号76〜92:Os〜U)の単体元素の位相特
性は、吸収端を露光光の波長域0.6〜1nmの長波長
側1〜1.6nmに持つグループI(原子番号27〜3
1:Co〜Ga)及び III(原子番号57〜63:La
〜Eu)の元素と組み合わせ合金、化合物にすることに
より位相特性を改善することが可能である。またこのと
き、第2の実施形態で示したように位相特性のみならず
吸収特性も大きく改善できる組み合わせが存在する。
(Fourth Embodiment) Group II (atomic numbers 41 to 52: Nb to Te) having an absorption edge on the short wavelength side of exposure light in the short wavelength range of 0.6 to 1 nm of 0.2 to 0.6 nm; IV
The phase characteristics of the elemental elements (atomic numbers 76 to 92: Os to U) are as follows: Group I (atomic numbers 27 to 92) having an absorption edge in the wavelength region of exposure light of 0.6 to 1 nm on the long wavelength side of 1 to 1.6 nm. 3
1: Co to Ga) and III (atomic numbers 57 to 63: La)
The phase characteristics can be improved by using alloys and compounds in combination with the elements (Eu) to (Eu). At this time, there is a combination that can greatly improve not only the phase characteristic but also the absorption characteristic as described in the second embodiment.

【0138】以下、本実施形態においてグループII及び
IVの元素とグループI及びIII の元素を組み合わせ合
金、化合物において位相特性が改善されることを説明す
る。
Hereinafter, in this embodiment, Group II and Group II
The fact that phase characteristics are improved in alloys and compounds in which the element IV and the elements of groups I and III are combined will be described.

【0139】単体元素の位相シフト量は、吸収端の位置
において急激に減少する以外は、長波長側において大き
くなる右肩上がりの特性となる。 グループIからIVの
全ての元素は、露光波長域内に吸収端を持たないため、
多くの物質は長波長側において位相シフト量が大きくな
る。
The phase shift amount of the simple element has a characteristic of increasing rightward on the long wavelength side except that it sharply decreases at the position of the absorption edge. Since all elements of Groups I to IV do not have absorption edges within the exposure wavelength range,
Many substances have a large amount of phase shift on the long wavelength side.

【0140】グループI及びIII の元素は、L,M殻の
吸収端を1〜1.6nmで露光波長の長波長域近傍に持
ち、この吸収端波長において位相シフト量が小さくなる
が、その吸収端波長よりも短波長側近傍の波長域におい
ては、位相シフト量の波長に対する変化は小さくなるた
め、露光波長域0.654〜1.015nmにおいては
位相分散が小さくなる。他方、グループII及びIVの元素
は、吸収端を露光波長の短波長側の0.25〜0.6n
mに持つため、位相シフト量の波長に対する変化は、グ
ループI及びIII の元素に比べ大きくなる。
The elements of Groups I and III have L and M shell absorption edges in the vicinity of the long wavelength region of the exposure wavelength at 1 to 1.6 nm. At this absorption edge wavelength, the amount of phase shift is small. In the wavelength region near the shorter wavelength side than the end wavelength, the change in the phase shift amount with respect to the wavelength is small, so that the phase dispersion is small in the exposure wavelength region of 0.654 to 1.015 nm. On the other hand, the elements of groups II and IV have absorption edges of 0.25 to 0.6 n on the shorter wavelength side of the exposure wavelength.
m, the change of the phase shift amount with respect to the wavelength is larger than that of the elements of Groups I and III.

【0141】従って、グループI及びIII の単体元素は
位相シフト量の制御において好適な吸収体材料であり、
これらの元素をグループII及びIVの元素と組み合わせた
合金、化合物では、位相特性を改善できる。特にグルー
プIのZn,Ga、グループIII のPm,Sm,Euは
吸収端を露光光の長波長域近傍の1.1〜1.2nmに
持ち、位相が吸収端付近の1nm近傍で変化し、露光光
の長波長域において位相シフト量が小さくなるため好適
な材料である。グループIのZn,Ga、グループIII
のPm,Sm,Euは、露光光の長波長域において位相
シフト量が大きくなるグループIからIVの全ての元素に
対して、これらの物質と合金化・化合物化することで位
相シフト量の露光波長域内の波長に対する変化を小さく
できるため、これらの組み合わせは好適である。特に位
相制御性の劣るグループII及びIVの単体元素ではπ位相
シフトにおいて位相変位は|φ1 −φ2 |≦π±0.2
0〜0.30πであり、グループIの元素やグループII
I の元素を組み合わせることで、その特性を大きく改善
することが可能である。
Accordingly, the simple elements of Groups I and III are suitable absorber materials for controlling the amount of phase shift.
Alloys and compounds in which these elements are combined with the elements of Groups II and IV can improve the phase characteristics. In particular, Zn and Ga of Group I and Pm, Sm and Eu of Group III have absorption edges at 1.1 to 1.2 nm near the long wavelength region of the exposure light, and the phase changes near 1 nm near the absorption edge. It is a suitable material because the amount of phase shift is small in the long wavelength region of the exposure light. Group I Zn, Ga, Group III
Pm, Sm, and Eu are the exposures of the phase shift amount by alloying / compounding all the elements of Groups I to IV, which increase the phase shift amount in the long wavelength region of the exposure light, with these substances. These combinations are preferable because the change with respect to the wavelength within the wavelength range can be reduced. In particular, in the simple elements of groups II and IV having poor phase controllability, the phase displacement in the π phase shift is | φ 1 −φ 2 | ≦ π ± 0.2
0 to 0.30π, the elements of Group I and Group II
By combining the elements of I, the characteristics can be greatly improved.

【0142】例えば、グループIVの元素Auとグループ
III の元素Smを組み合わせたSm 4 Au合金におい
て、π位相シフト量は|φ1 −φ2 |≦π±0.04π
に制御できる。また、Smx Auy 合金においては、マ
スクコントラストも何れの単体元素における値よりも高
くすることができる。Auは、π位相シフトさせるため
の膜厚da が441.03nmと薄く、且つマスクコン
トラストも高いため、この波長域のシンクロトロン放射
光による露光において位相シフトを利用して高い解像力
を得るのには適している物質であるが、波長に対する位
相変位を低く抑えることでさらに有効な物質になる。こ
のことは、グループIVの他の元素についても同様であ
り、Os,Pt,IrはAuよりも吸収が大きいため好
適な材料である。
For example, the element Au of group IV and the group Au
Sm combining element Sm of III FourAu alloy smell
The amount of π phase shift is | φ1−φTwo| ≦ π ± 0.04π
Can be controlled. Also, SmxAuyIn alloys,
The screen contrast is higher than the value of any single element
Can be done. Au shifts the phase by π.
Film thickness daIs as thin as 441.03 nm and the mask
Synchrotron radiation in this wavelength range due to high trust
High resolution using phase shift in light exposure
Is a suitable substance for obtaining
Keeping the phase displacement low makes the material more effective. This
The same is true for the other elements of Group IV.
Os, Pt, and Ir are preferred because they absorb more than Au.
It is a suitable material.

【0143】従って、吸収端を短波長側(0.25〜
0.60nm)に持つグループII及びIVの単体元素の位
相特性を変えるためには、グループI及びIII が吸収端
を1〜1.6nmに持つためにこれらの物質と化合物に
することが有効である。また、マスクコントラストも吸
収特性が変化することで何れの単体元素における値より
も高くすることが可能である。
Therefore, the absorption edge is shifted to the short wavelength side (0.25 to 0.25).
In order to change the phase characteristics of the single elements of groups II and IV having a wavelength of 0.60 nm), it is effective to use compounds and compounds of these substances because groups I and III have an absorption edge of 1 to 1.6 nm. is there. Also, the mask contrast can be made higher than the value of any single element by changing the absorption characteristics.

【0144】図17及び下記の(表13)には、Smx
Auy 2元合金の組成を変えたときの(a)位相シフト
量と(b)マスクコントラスト(吸収体膜厚0.4μ
m)の変化を示している。マスクコントラストは、Sm
3 Au2 の組成比において最大となり、このときの位相
シフトの制御も膜厚約700nmでπ±0.09πと非
常に精度良く制御することが可能となり吸収体材料とし
て好適である。
FIG. 17 and the following (Table 13) show that Sm x
When the composition of the Au y binary alloy is changed, (a) the phase shift amount and (b) the mask contrast (absorber film thickness 0.4 μm)
m). The mask contrast is Sm
The composition ratio of 3 Au 2 becomes the maximum, and the phase shift control at this time can be very accurately controlled to π ± 0.09π at a film thickness of about 700 nm, which is suitable as an absorber material.

【0145】[0145]

【表13】 [Table 13]

【0146】グループIII とグループIVの組み合わせ以
外にもグループIとグループIII 、グループIとグルー
プII、グループIIとグループIII の組み合わせにおいて
もマスクコントラスト及び位相特性は改善される。
In addition to the combination of Group III and Group IV, the mask contrast and phase characteristics are also improved in the combination of Group I and Group III, Group I and Group II, and Group II and Group III.

【0147】下記の(表14)には、グループIとグル
ープIII 、グループIII とグループIVの組み合わせの主
な合金、化合物を吸収体材料に用いたときの位相特性
(形式は表11と同じ)とマスクコントラスト値を示し
ている。
The following (Table 14) shows the phase characteristics when the main alloys and compounds of the combinations of Group I and Group III and Group III and Group IV are used as the absorber material (the format is the same as in Table 11). And the mask contrast value.

【0148】[0148]

【表14】 [Table 14]

【0149】(表13,14)及び図17より、合金、
化合物ではその組成比を変えることで、マスクコントラ
スト及び位相シフト量の制御性を変えることが可能であ
り、本実施形態で提案する材料の組み合わせでその組成
比を最適化することで、任意の膜厚で所望のマスクコン
トラスト及び位相シフト量を精度良く制御することが可
能となることは明らかである。
According to Tables 13 and 14 and FIG.
It is possible to change the mask contrast and the controllability of the amount of phase shift by changing the composition ratio of the compound, and by optimizing the composition ratio with a combination of materials proposed in the present embodiment, an arbitrary film can be formed. It is apparent that the desired mask contrast and phase shift amount can be accurately controlled by the thickness.

【0150】従って、グループIの原子番号27〜31
のCo(27)〜Ga(31)とグループIII の原子番号57〜
63のLa(57)〜Eu(63)を組み合わせた合金、化合
物、またグループIII の原子番号57〜63のLa(57)
〜Eu(63)とグループIVの原子番号76〜92のOs(7
6)〜U(92) を組み合わせた合金、またグループIIの原
子番号45〜47のRh(45)〜Ag(47)とグループIの
27〜31のCo(27)〜Ga(31)、またグループIII の
原子番号57〜63のLa(57)〜Eu(63)を組み合わせ
た合金は、波長域0.6〜1nmのシンクロトロン放射
光を用いた位相シフトマスクの吸収体に好適な材料であ
る。
Accordingly, the atomic numbers 27 to 31 of group I
Co (27) -Ga (31) and group III atomic number 57-
Alloys and compounds combining La (57) to Eu (63) of 63, and La (57) of Group III atomic numbers 57 to 63
Eu (63) and Os (7
Alloys combining 6) to U (92), Rh (45) to Ag (47) having atomic numbers 45 to 47 of Group II and Co (27) to Ga (31) of Group I 27 to 31; An alloy combining La (57) to Eu (63) with atomic numbers 57 to 63 of Group III is a material suitable for an absorber of a phase shift mask using synchrotron radiation having a wavelength range of 0.6 to 1 nm. is there.

【0151】これらの合金、化合物の中で、位相シフト
量の制御性に優れ、吸収体膜厚も薄く、マスクコントラ
ストの値も適切な位相シフトマスクの吸収体材料に望ま
れる以下の3条件、 1)Δφ≦0.10π(0.95≦|cosφ|≦1) 2)π位相シフト膜厚におけるマスクコントラスト値C
が10〜20程度 3)π位相シフト膜厚da ≦850nm とすると、これらの全ての条件を満たす好適な吸収体材
料は、グループIとIIIの組み合わせによる SmNi4 π位相吸収体膜厚:da =702.03nm (マスクコントラスト:11.01〜12.01) π位相シフト量:|φ1 −φ2 |≦π±0.10π Nd2 Cu3 π位相吸収体膜厚:da =813.14nm (マスクコントラスト:14.97〜16.52) π位相シフト量:|φ1 −φ2 |≦π±0.07π Nd3 Cu7 π位相吸収体膜厚:da =764.23nm (マスクコントラスト:12.81〜14.08) π位相シフト量:|φ1 −φ2 |≦π±0.08π と、グループIII とIVの組み合わせによる Nd4 Au π位相吸収体膜厚:da =837.64nm (マスクコントラスト:19.50〜21.59) π位相シフト量:|φ1 −φ2 |≦π±0.08π GdAu π位相吸収体膜厚:da =661.88nm (マスクコントラスト:19.14〜20.94) π位相シフト量:|φ1 −φ2 |≦π±0.10π が挙げられる。
Among these alloys and compounds, the following three conditions desired for an absorber material of a phase shift mask having excellent controllability of the amount of phase shift, a small thickness of the absorber, and an appropriate value of the mask contrast are as follows: 1) Δφ ≦ 0.10π (0.95 ≦ | cosφ | ≦ 1) 2) Mask contrast value C at π phase shift film thickness
3) Assuming that the π phase shift film thickness d a ≦ 850 nm, a suitable absorber material satisfying all of these conditions is a SmNi 4 π phase absorber film thickness: d obtained by combining groups I and III. a = 702.03nm (mask contrast: from 11.01 to 12.01) [pi phase shift: | φ 1 -φ 2 | ≦ π ± 0.10π Nd 2 Cu 3 π phase absorber film thickness: d a = 813 .14Nm (mask contrast: from 14.97 to 16.52) [pi phase shift: | φ 1 -φ 2 | ≦ π ± 0.07π Nd 3 Cu 7 π phase absorber film thickness: d a = 764.23nm ( mask contrast: 12.81 to 14.08) [pi phase shift: | φ 12 | and ≦ π ± 0.08π, Nd 4 Au π phase absorber film thickness by a combination of group III and IV: d a = 837.64 nm (mask contra Strike: from 19.50 to 21.59) [pi phase shift: | φ 1 -φ 2 | ≦ π ± 0.08π GdAu π phase absorber film thickness: d a = 661.88nm (mask Contrast: 19.14~ 20.94) π phase shift amount: | φ 1 −φ 2 | ≦ π ± 0.10π.

【0152】また、上記した組成比、組み合わせ以外に
おいても3条件を満たす吸収体材料は得られる。従っ
て、本発明で提案する材料の組み合わせでその組成比を
最適化することで、任意の膜厚で所望のマスクコントラ
スト及び位相シフト量を精度良く制御することが可能と
なることが明らかになった。
Further, an absorber material satisfying the three conditions can be obtained other than the above composition ratios and combinations. Therefore, it has been clarified that by optimizing the composition ratio with the combination of the materials proposed in the present invention, it is possible to accurately control the desired mask contrast and phase shift amount at an arbitrary film thickness. .

【0153】(第5の実施形態)第3の実施形態及び第
4の実施形態においては、吸収体パターン間の溝に透過
膜物質が存在していない場合に好適な吸収体材料につい
ての説明を行ったが、本実施形態ではX線に対して吸収
の小さい透過膜がメンブレン上に存在する図2〜図4に
示すX線露光用マスクについて述べる。メンブレン6上
の透過膜8は、露光波長に対して吸収が小さく、その物
質の構成元素として吸収端を露光光の露光波長域に含ま
ない元素又は露光波長域の短波長域近傍に持つ元素を用
いて、吸収体5の波長に対する位相シフト量の分散を制
御することが可能となる。
(Fifth Embodiment) In the third embodiment and the fourth embodiment, a description will be given of an absorber material suitable in a case where a permeable membrane substance does not exist in a groove between absorber patterns. In this embodiment, the mask for X-ray exposure shown in FIGS. 2 to 4 in which a transmission film having low absorption for X-rays is present on the membrane will be described. The transmissive film 8 on the membrane 6 has a small absorption with respect to the exposure wavelength, and includes, as a constituent element of the substance, an element having an absorption edge not included in the exposure wavelength range of the exposure light or an element having a short wavelength region near the exposure wavelength range. By using this, it is possible to control the dispersion of the amount of phase shift with respect to the wavelength of the absorber 5.

【0154】以下、本実施形態において上記透過膜を用
いて位相シフト量を制御する手法の発明について説明す
る。
In the following, the invention of a method of controlling the amount of phase shift by using the transmission film in the present embodiment will be described.

【0155】図2〜図4に示すX線露光用マスクにおけ
る吸収体と透過膜を透過したX線のそれぞれの位相をφ
a とΔφt としたとき、|φa −φt |が露光波長域に
おいて、吸収体を透過した位相φa に対して好適な位相
特性φt を持つ材料を選択することで、波長帯域の広い
シンクロトロン放射光を用いた露光における位相制御性
を高めることができる。
In the mask for X-ray exposure shown in FIGS. 2 to 4, the phase of each of the X-rays transmitted through the absorber and the transmission film is defined as φ
when a and Δφ t, | φ a -φ t | in the exposure wavelength region, by selecting a material with a suitable phase characteristics phi t to the phase phi a having passed through the absorber, the wavelength band The phase controllability in exposure using a wide synchrotron radiation can be enhanced.

【0156】吸収体材料については、その全ての吸収端
が、露光波長域(X線露光用マスクに入射する最大光強
度波長の光強度の1/10以上の強度を持つ波長域)の
最短波長以下或いは最長波長以上、又は最長波長近傍
(最長波長より0.1nm以内)の領域である元素から
なる単体元素化合物や積層膜が適している。一方、吸収
端が露光波長域内に含まれる元素からなる物質の場合、
その吸収端波長及びその近傍において位相特性が大きく
変化し、露光波長域内の位相分散を小さくすることが困
難であるため不適である。また、同様のことが透過膜材
料についても言え、その全ての吸収端が、露光波長域の
最短波長以下或いは最長波長以上の領域である元素、又
は最短波長近傍に吸収端を持つ元素からなる単体元素,
化合物,積層膜を用いることが望まれる。
As for the absorber material, all the absorption edges have the shortest wavelengths in the exposure wavelength range (the wavelength range having an intensity of 1/10 or more of the maximum light intensity wavelength incident on the X-ray exposure mask). A single element compound or a laminated film made of an element having a region of less than or equal to or longer than the longest wavelength or in the vicinity of the longest wavelength (within 0.1 nm from the longest wavelength) is suitable. On the other hand, when the absorption edge is a substance made of an element included in the exposure wavelength range,
The phase characteristic greatly changes at and near the absorption edge wavelength, and it is difficult to reduce the phase dispersion in the exposure wavelength range, which is not suitable. The same can be said for a permeable membrane material, in which all absorption edges are composed of an element having an absorption edge near or below the shortest wavelength, or an element having an absorption edge near or below the shortest wavelength in the exposure wavelength range. element,
It is desirable to use a compound and a laminated film.

【0157】ここでは、透過膜材料として望まれる特性
としては、以下の条件を満たす物質である。
Here, the characteristics desired as the permeable membrane material are those satisfying the following conditions.

【0158】1)吸収体材料の位相分散を打ち消す位相
特性を持つこと 2)露光光のX線に対して吸収が小さく、透過率の高い
物質 3)透過膜の厚さDt があまり厚くならないこと 条件1)は、波長帯域の広いシンクロトロン放射光の各
波長に対する位相シフト量を一定にし、その位相分散を
抑えるためである。吸収端を露光光波長域内に含まない
吸収体の元素は、その位相シフト量は短波長側で小さ
く、長波長側において大きくなる。従って、透過膜とし
ては同様に吸収端を露光光波長域内に含まない元素か露
光波長域の最短波長近傍に吸収端を持つ元素を用いるこ
とにより、位相シフト量の分散は抑えられる。最短波長
近傍に吸収端を持つ元素は、その吸収端波長λa におい
ては屈折率nt (λa )及びφt (λa )が急激に減少
し、|φa (λa )−φt (λa )|が短波長域で増加
するため吸収体の位相シフト量の分散は抑えられる。こ
こでは、最短波長より0.1nm以内に吸収端を持つ元
素が望ましい。
[0158] 1) It has a phase characteristic for canceling the phase dispersion of the absorbent material 2) small absorption for X-rays of the exposure light, the thickness D t of a high transmittance material 3) permeable membrane is not too thick Condition 1) is to make the amount of phase shift for each wavelength of synchrotron radiation light having a wide wavelength band constant and suppress the phase dispersion. The phase shift amount of the element of the absorber that does not include the absorption edge in the exposure light wavelength range is small on the short wavelength side and large on the long wavelength side. Accordingly, the dispersion of the phase shift amount can be suppressed by using an element that does not include the absorption edge in the exposure light wavelength range or an element that has an absorption edge near the shortest wavelength in the exposure wavelength range. For an element having an absorption edge near the shortest wavelength, the refractive index n ta ) and φ ta ) sharply decrease at the absorption edge wavelength λ a , and | φ aa ) −φ ta ) | increases in the short wavelength range, so that the dispersion of the phase shift amount of the absorber can be suppressed. Here, an element having an absorption edge within 0.1 nm from the shortest wavelength is desirable.

【0159】条件2)は、透過膜の吸収が大きければ、
そのマスクで得られるマスクコントラストが低くなるた
めである。そして条件3)では、位相シフトするために
透過膜を厚くする必要がある場合、透過膜の吸収が大き
くなりマスクコントラストを低下させるだけではなく、
透過膜に形成する微細パターンがアスペクト比の高い構
造となり、その作成及び微細パターン溝中への吸収体材
料又は透過膜材料の埋め込みが困難となるためである。
条件4)は、透過膜微細パターン溝への吸収体材料の埋
め込む際にリフロー・スパッタ法を用いる場合に必要な
条件となる。
The condition 2) is that if the absorption of the permeable membrane is large,
This is because the mask contrast obtained by the mask becomes low. In condition 3), when the transmission film needs to be thickened for phase shift, not only does the absorption of the transmission film increase to lower the mask contrast,
This is because the fine pattern formed on the permeable film has a structure with a high aspect ratio, and it is difficult to prepare the buried film and bury the absorber material or the permeable film material in the fine pattern grooves.
Condition 4) is a necessary condition when the reflow sputtering method is used to embed the absorber material into the permeable film fine pattern groove.

【0160】ここでは、第1の実施形態における露光条
件と全く同じ条件の露光波長域0.654〜1.015
nmのシンクロトロン放射光を用いたとき、図2〜図4
に示すX線露光用マスクにおいて、上記の条件を満たす
透過膜材料を示し、その効果について以下に詳細に説明
する。
Here, the exposure wavelength range of 0.654 to 1.015 is the same as the exposure condition in the first embodiment.
When synchrotron radiation of nm is used, FIGS.
In the mask for X-ray exposure shown in FIG. 1, a permeable film material satisfying the above conditions is shown, and its effect will be described in detail below.

【0161】まず、吸収体及び透過膜を透過した波長λ
のそれぞれのX線光の位相差|φa−φt |がπとなる
ときの吸収体及び透過膜の膜厚Da ,Dt は、以下の式
で表される。
First, the wavelength λ transmitted through the absorber and the permeable film
When the phase difference | φ a −φ t | of each X-ray light becomes π, the film thicknesses Da and D t of the absorber and the transmission film are represented by the following equations.

【0162】 |φa −φt |= 2π|na a −nt t |/λ=mπ … (5) |na a −nt t |=m(λ/2) |δa (λ)Da −δt (λ)Dt |=m(λ/2) m=0,±1,±2,〜 … (6) 但し、 φa :メンブレン・吸収体透過後の位相シフト量 φt :メンブレン・透過膜透過後の位相シフト量 na , nt :吸収体及び透過膜の屈折率 δa (λ),δt (λ):δa (λ)=1−na ,δt
(λ)=1−nta ,Dt :吸収体及び透過膜の膜厚 である。
[0162] | φ a -φ t | = 2π | n a D a -n t D t | / λ = mπ ... (5) | n a D a -n t D t | = m (λ / 2) | δ a (λ) D a −δ t (λ) D t | = m (λ / 2) m = 0, ± 1, ± 2, ... (6) where φ a : after transmission through the membrane / absorber phase shift phi t: phase shift amount after the membrane permeable membrane permeability n a, n t: refractive index of the absorber and transmissive film δ a (λ), δ t (λ): δ a (λ) = 1- n a, δ t
(Λ) = 1− ntD a , D t : film thickness of the absorber and the permeable film.

【0163】ここでは、各種単体元素及び合金、化合物
について位相をπ変化させるのに必要な膜厚を求め、位
相の波長分散を調べた。その波長域としては、上記シン
クロトロン放射光のメンブレン透過後(1.0μm厚)
の強度スペクトルにおいて最大強度から1/10の強度
までの波長域0.654〜1.015nmとした。この
0.654〜1.015nmの露光光波長帯域における
吸収体及び透過膜のπ位相シフト平均膜厚をda ,dt
とし、厚さDa ,Dt の吸収体及び透過膜を透過後の位
相シフト量の差|φa −φt |をPとするとき、以下の
式(7) が成り立つ。
Here, the film thickness required to change the phase by π was determined for each of the various elemental elements, alloys and compounds, and the wavelength dispersion of the phase was examined. The wavelength range of the above synchrotron radiation light after passing through the membrane (1.0 μm thickness)
In the intensity spectrum, the wavelength range from the maximum intensity to the intensity of 1/10 was 0.654 to 1.015 nm. The phase shift average thickness π of the absorber and transmissive film at the exposure light wavelength band of the 0.654~1.015nm d a, d t
When the difference | φ a −φ t | between the phase shift amounts after transmission through the absorber and the transmission film having the thicknesses D a and D t is P, the following expression (7) is established.

【0164】 Da /da −Dt /dt =P/π … (7) 但し、 Da ,Dt :吸収体及び透過膜の厚さ da :露光光波長域における吸収体のπ位相シフト平均
膜厚 dt :露光光波長域における透過膜のπ位相シフト平均
膜厚 P:P =|φa −φt |位相シフト量 である。
D a / d a −D t / d t = P / π (7) where D a and D t are the thicknesses of the absorber and the transmission film, d a is the π of the absorber in the exposure light wavelength region. phase shifting the average thickness d t: [pi phase shift of the permeable membrane in the exposure wavelength region average thickness P: P = | φ a -φ t | is the phase shift amount.

【0165】従って、位相シフト量Pにおける膜厚は、
吸収体と透過膜の膜厚が等しいとき(図2参照)、Da
=Dt (≡D)となり、このとき膜厚Dは式 (8)で表さ
れ、 D=(da t /|dt −da |)・P/π … (8) となる。また、このとき露光波長域における位相分散は
以下の式 (9)及び(9')で表される。
Therefore, the film thickness at the phase shift amount P is
When the thicknesses of the absorber and the permeable membrane are equal (see FIG. 2), Da
= D t (≡D), and this time the film thickness D is represented by formula (8), D = a · P / π ... (8) (d a d t / | | d t -d a). At this time, the phase dispersion in the exposure wavelength range is represented by the following equations (9) and (9 ′).

【0166】 ΔφD =D・(Δφa /da −Δφt /dt )… (9) ΔφD =(da t /|dt −da |)・(P/π) ・(Δφa /da −Δφt /dt ) =(P/π|dt −da |)・(dt Δφa −da Δφt )…(9') また、吸収体と透過膜の膜厚が異なる場合においては
(Da ≠Dt)(図3及び図4参照)、 ΔφD =Δφa a /da −Δφt t /dt …(10) 但し、 Δφa :吸収体のπ位相シフト平均膜厚da における位
相πからの最大変位量 Δφt :透過膜のπ位相シフト平均膜厚dt における位
相πからの最大変位量 ΔφD :π位相シフト平均膜厚Dにおける位相πからの
最大変位量 である。
[0166] Δφ D = D · (Δφ a / d a -Δφ t / d t) ... (9) Δφ D = (d a d t / | d t -d a |) · (P / π) · ( Δφ a / d a -Δφ t / d t) = (P / π | d t -d a |) · (d t Δφ a -d a Δφ t) ... (9 ') also of the absorber and transmissive film when the film thickness is different from (D a ≠ Dt) (see FIGS. 3 and 4), Δφ D = Δφ a D a / d a -Δφ t D t / d t ... (10) However, [Delta] [phi a: absorption maximum displacement of the phase [pi in the body of the [pi phase shift average film thickness d a Δφ t: maximum displacement [Delta] [phi D of the phase [pi in [pi phase shift average film thickness d t of the permeable membrane: [pi phase shift average film thickness D Is the maximum displacement from the phase π at.

【0167】ここで、 (9),(10)式は、吸収体及び透過
膜材料を構成する元素の吸収端が、0.654〜1.0
15nmの露光光波長帯域に含まれない物質に対しての
み成立する。本実施形態では、吸収体と透過膜の膜厚が
等しいとき(Da =Dt (≡D))の吸収体と透過膜の
各種材料の組み合わせにおける位相特性及び吸収特性を
式(8) と(9) を用いて調べ、位相シフトマスクに適した
吸収体材料と透過膜材料の組み合わせを検討した。
Here, the equations (9) and (10) indicate that the absorption edges of the elements constituting the absorber and the permeable membrane material are 0.654 to 1.0.
This holds only for substances that are not included in the exposure light wavelength band of 15 nm. In the present embodiment, when the film thickness of the absorber and the transmission film is equal (D a = D t (≡D)), the phase characteristics and the absorption characteristics of the combination of the various materials of the absorber and the transmission film are expressed by Equation (8). Using (9), the combination of the absorber material and the transmission film material suitable for the phase shift mask was examined.

【0168】まず、透過膜材料として望まれる特性とし
て挙げた条件1,2の具体的な条件を以下の条件、 1)その全ての吸収端が、露光波長域の最短波長以下或
いは最長波長以上の領域である元素、或いは最短波長よ
り0.1nm以内に吸収端を持つ元素からなる元素(S
i:K 0.6738nmとRb:L3 0.6862
nm) 2)吸収が小さく、膜厚Dt が400nmにおいて露光
光の透過光の光強度の減衰が50%以下の物質 としたとき、この2条件を満たす単体元素の特性を下記
の(表15)に示す。
First, the specific conditions 1 and 2 listed as characteristics desired as a material for the permeable membrane are as follows: 1) All the absorption edges of which are shorter than the shortest wavelength or longer than the longest wavelength in the exposure wavelength range. Element which is a region or an element having an absorption edge within 0.1 nm from the shortest wavelength (S
i: K 0.6738 nm and Rb: L3 0.6862
2) When a material having a small absorption and a film thickness Dt of 400 nm and a decrease in light intensity of transmitted light of exposure light of 50% or less is used, characteristics of a single element satisfying these two conditions are shown in Table 15 below. ).

【0169】[0169]

【表15】 [Table 15]

【0170】従って、(表15)に挙げたBe,B,
C,N,O,F,Na,Si,P,S,Cl,K,C
a,Sc,Ti,V,Cr,Rb,Sr,Y,Zr,N
b,Mo,I,Raは、露光波長域を0.65nmから
1.02nmの間に有するシンクロトロン放射光を露光
光源として用いたときの透過膜材料の構成元素として好
適である。
Therefore, Be, B, and
C, N, O, F, Na, Si, P, S, Cl, K, C
a, Sc, Ti, V, Cr, Rb, Sr, Y, Zr, N
b, Mo, I, and Ra are suitable as constituent elements of a permeable film material when synchrotron radiation having an exposure wavelength range between 0.65 nm and 1.02 nm is used as an exposure light source.

【0171】この(表15)では、各種単体元素の融
点、π位相シフト平均膜厚dt 及びその膜厚における位
相πからの最大変位量Δφt 、単位厚さ当たりの位相シ
フトの最大変位量Δφt /dt 示している。
In Table 15, the melting points of various elemental elements, the π phase shift average film thickness d t , the maximum displacement Δφ t from the phase π in the film thickness, and the maximum displacement of the phase shift per unit thickness are shown. Δφ t / dt is shown.

【0172】同様に(表16)には、位相シフトマスク
の吸収体材料として露光波長域内に吸収端を含まない好
適な主な各種単体元素の融点、π位相シフト平均膜厚d
a 及びその膜厚における位相πからの最大変位量Δ
φa 、単位厚さ当たりの位相シフトの最大変位量Δφa
/da を示している。
Similarly, Table 16 shows the melting points and the π phase shift average film thickness d of various suitable single elements that do not have an absorption edge within the exposure wavelength range as the absorber material of the phase shift mask.
a and the maximum displacement Δ from the phase π in the film thickness
φ a , the maximum displacement of phase shift per unit thickness Δφ a
/ Shows a d a.

【0173】[0173]

【表16】 [Table 16]

【0174】(表16)で挙げた各種元素において露光
波長域を0.65nmから1.02nmの間に有するシ
ンクロトロン放射光を露光光源として用いたときに好適
な吸収体材料としては、この波長域のX線に対して吸収
の大きい元素である。ここで、吸収体膜厚400nmに
対して露光光の透過率25%以下の物質を挙げるとC
o,Ni,Cu,Zn,Ga,Rh,Pd,Ag,L
a,Ce,Pr,Nd,Sm,Eu,Gd,Tb,D
y,Ho,Pt,Auとなり、従って、これらの単体元
素及び合金が露光波長域を0.65nmから1.02n
mの間に有するシンクロトロン放射光を露光光源として
用いたメンブレン上に透過膜を有するX線露光用マスク
において好適な吸収体材料であると言える。
As an absorber material suitable for use as synchrotron radiation having an exposure wavelength range of 0.65 nm to 1.02 nm among the various elements listed in Table 16 as an exposure light source, this wavelength is It is an element that absorbs a large amount of X-rays in the region. Here, a substance having a transmittance of exposure light of 25% or less for an absorber film thickness of 400 nm is C.
o, Ni, Cu, Zn, Ga, Rh, Pd, Ag, L
a, Ce, Pr, Nd, Sm, Eu, Gd, Tb, D
y, Ho, Pt, and Au. Therefore, these single elements and alloys can increase the exposure wavelength range from 0.65 nm to 1.02 n.
It can be said that this is a suitable absorber material for an X-ray exposure mask having a transmission film on a membrane using synchrotron radiation light having a distance between m and m as an exposure light source.

【0175】次に、透過膜付きX線露光用マスクの吸収
体材料として上記の好適な物質の中から以下の a)Au(Δφa =0.25π,da =441.0n
m) b)Cu(Δφa =0.13π,da =612.4n
m) c)Ni(Δφa =0.16π,da =566.1n
m) を用いたときのそれぞれの各種透過膜に対する位相特性
を式 (8),(9) に代入することにより求めた結果を、下
記の(表17〜19)に示す。
Next, from the above-mentioned suitable substances as the absorber material of the mask for X-ray exposure with a transmission film, the following a) Au (Δφ a = 0.25π, d a = 441.0 n)
m) b) Cu (Δφ a = 0.13π, d a = 612.4n)
m) c) Ni (Δφ a = 0.16π, d a = 566.1n)
The results obtained by substituting the phase characteristics for each of the various permeable membranes when using m) into the equations (8) and (9) are shown in the following (Tables 17 to 19).

【0176】[0176]

【表17】 [Table 17]

【0177】[0177]

【表18】 [Table 18]

【0178】[0178]

【表19】 [Table 19]

【0179】次に、吸収体材料としてa)〜c)の材料
を用いたときの位相特性について説明する。
Next, the phase characteristics when the materials a) to c) are used as the absorber material will be described.

【0180】a)Au吸収体と各種単体元素透過膜を組
み合わせたときの位相特性 (表17)では、露光波長域内におけるπからの最大変
位量をΔφD として示し、その値の低い元素から順番に
並べている。AuではSiと組み合わせたときに一番優
れ、次にSr,Rb,Y,Zr,P,Bの順となる。S
iの場合(同様にRb)、そのK吸収端が露光波長域の
短波長域に含まれるため、 (9)式の結果と少し異なり、
実際にはD=547.46nm,ΔφD =0.235π
となり、Auとの組み合わせではSrが一番優れた材料
となる。Auは単位厚さ当たりの位相シフトの最大変位
量Δφa /da が大きく、位相特性Δφa を0.25π
を0.22πに改善する程度で大きく特性を変えること
は困難である。単体元素の特性は、これらの元素からな
る化合物の特性評価にも有効で、D,ΔφD は構成元素
の平均値となる。
A) In the phase characteristics (Table 17) when the Au absorber is combined with the various elemental permeable films, the maximum displacement from π in the exposure wavelength range is shown as Δφ D , and the elements are arranged in the order from the element having the lowest value. Are arranged. Au is best when combined with Si, followed by Sr, Rb, Y, Zr, P, and B. S
In the case of i (similarly, Rb), the K absorption edge is included in the short wavelength region of the exposure wavelength region, so that it is slightly different from the result of Expression (9).
Actually, D = 547.46 nm, Δφ D = 0.235π
Sr is the most excellent material in combination with Au. Au has a large maximum phase shift amount Δφ a / da per unit thickness, and the phase characteristic Δφ a is 0.25π.
It is difficult to greatly change the characteristics only by improving the value to 0.22π. Characteristics of a single element, to characterization of compounds consisting of these elements is effective, D, [Delta] [phi D is the average of the constituent elements.

【0181】従って、透過膜及び吸収体の厚さDが薄く
(D≦1μm)、ΔφD が小さく、且つ高融点(≧15
00℃)の物質は、Si,Zr,SrO,SiO2 ,S
rS,Yx Siy ,SiP,Sr3 2 ,ZrP,Zr
Si,Y2 3 ,Yx y と予測できる。
Accordingly, the thickness D of the permeable membrane and the absorber is small (D ≦ 1 μm), Δφ D is small, and the melting point is high (≧ 15).
00 ° C.) are Si, Zr, SrO, SiO 2 , S
rS, Y x Si y , SiP, Sr 3 P 2 , ZrP, Zr
Si, it can be predicted that Y 2 O 3, Y x S y.

【0182】b)Cu吸収体と各種単体元素透過膜を組
み合わせたときの位相特性 (表18)では、Auにおける結果同様に露光波長域内
におけるπからの最大変位量をΔφD として示し、その
値の低い元素から順番に並べている。CuではTiと組
み合わせたときに一番優れ、次にY,C,Si,Sr,
Sc,Vの順となる。Cuは、単位厚さ当たりの位相シ
フトの最大変位量Δφa /da が小さく、Moを除く
(表18)の全ての元素を用いることが可能であり、位
相特性Δφ a =0.13πを改善することは容易であ
る。
B) A combination of a Cu absorber and various single element permeable membranes
The phase characteristics when combined (Table 18) show that the phase
Is the maximum displacement from π at ΔφDShown as
Elements are arranged in order from the element with the lowest value. In Cu, paired with Ti
Best when combined, then Y, C, Si, Sr,
Sc, then V. Cu is the phase shift per unit thickness.
Maximum displacement of the shaft Δφa/ DaIs small, excluding Mo
It is possible to use all the elements in Table 18
Phase characteristics Δφ a= 0.13π is easy to improve
You.

【0183】従って、透過膜及び吸収体の厚さDが薄く
(D≦1μm)、ΔφD が小さく、且つ高融点(≧15
00℃)の物質は、Si,SiO2 ,SrO,SrS,
xSiy ,SiP,Sr3 2 と予測できる。
Therefore, the thickness D of the permeable membrane and the absorber is small (D ≦ 1 μm), Δφ D is small, and the melting point is high (≧ 15).
00 ° C.) are Si, SiO 2 , SrO, SrS,
Y x Si y, SiP, and Sr 3 P 2 can be predicted.

【0184】c)Ni吸収体と各種単体元素透過膜を組
み合わせたときの位相特性 (表19)も最大変位量をΔφD として示し,その値の
低い元素から順番に並べている。NiではVと組み合わ
せたときに一番優れ、次にY,C,Si,Cr,Ti,
Cの順となる。Niは、Cu同様、単位厚さ当たりの位
相シフトの最大変位量Δφa /da が小さく、Naを除
く(表19)の全ての元素を用いることが可能であり、
位相特性Δφa =0.16πを改善することは容易であ
る。
C) Phase characteristics when the Ni absorber and various single element permeable membranes are combined (Table 19) also shows the maximum displacement as Δφ D , and the elements are arranged in order from the element having the lowest value. Ni is best when combined with V, then Y, C, Si, Cr, Ti,
The order is C. Ni has a small maximum displacement Δφ a / da of the phase shift per unit thickness similarly to Cu, and it is possible to use all elements except for Na (Table 19),
It is easy to improve the phase characteristic Δφ a = 0.16π.

【0185】従って、透過膜及び吸収体の厚さDが薄く
(D≦1μm)、ΔφD が小さく、且つ高融点(≧15
00℃)の物質は、Si,SiC,Si3 4 ,SiO
2 ,SrO,SrS,Yx Siy ,SiP,Sr3 2
と予測できる。
Therefore, the thickness D of the permeable membrane and the absorber is small (D ≦ 1 μm), Δφ D is small, and the melting point is high (≧ 15).
00 ° C.) are Si, SiC, Si 3 N 4 , SiO
2, SrO, SrS, Y x Si y, SiP, Sr 3 P 2
Can be predicted.

【0186】以上の結果より、吸収端を露光波長域内に
含まない各種吸収体に対して、その露光波長に対する位
相分散を小さくする透過膜材料の単体元素を見つけるこ
とは(9)式より容易であり、吸収体の位相分散を小さく
すると示された単体元素又はそれらの元素を組み合わせ
た化合物、多層膜は透過膜材料として適していると考え
られる。
From the above results, it is easy to find a simple element of the permeable film material that can reduce the phase dispersion with respect to the exposure wavelength for various absorbers whose absorption edges are not included in the exposure wavelength range by the formula (9). Yes, it is considered that a single element indicated to reduce the phase dispersion of the absorber, a compound obtained by combining those elements, or a multilayer film is suitable as a permeable membrane material.

【0187】実際にπ位相シフトさせたときの各透過膜
材料の特性は、 吸収体材料:Au,Cu,Ni 位相シフト量:π 透過膜:SiO2 ,SrO,SrF2 ,SiC,Si,
MgO,Al2 3 ,TiO2 光源:シンクロトロン放射光(露光波長域: 0.654〜1.
015 nm) としたときの位相特性を(表20)に示す。
The properties of each transmission film material when actually shifted by π phase are as follows: absorber material: Au, Cu, Ni phase shift amount: π transmission film: SiO 2 , SrO, SrF 2 , SiC, Si,
MgO, Al 2 O 3 , TiO 2 Light source: synchrotron radiation (exposure wavelength range: 0.654 to 1.
015 nm) is shown in (Table 20).

【0188】[0188]

【表20】 [Table 20]

【0189】露光波長域内に吸収端を含まない透過膜材
料では、(表17〜19)にて位相補正に好適であると
示されている単体元素又はそれらを組み合わせた化合物
を透過膜に用いた場合において、位相シフト量の変位|
ΔφD |は改善されていることが判る。ここで、Cu,
Ni吸収体の位相特性は透過膜によって大きく改善さ
れ、Auはあまり改善されず、(表17〜19)におい
て示された結果と良く一致している。
In the transmission film material which does not include an absorption edge in the exposure wavelength range, a single element or a compound thereof which is suitable for phase correction in Tables 17 to 19 is used for the transmission film. In the case, the displacement of the phase shift amount |
It can be seen that Δφ D | has been improved. Where Cu,
The phase characteristics of the Ni absorber are greatly improved by the permeable film, and the Au is not significantly improved, which is in good agreement with the results shown in (Tables 17 to 19).

【0190】また、吸収端を含まない透過膜材料でも、
(表17〜19)において位相補正に適さないと示され
ている単体元素又はそれらを組み合わせた化合物では|
Δφ D |は改善されていないことが判る(例:AuのT
iO2 埋め込み構造)。また、膜厚も他のSiO2 ,S
rO,SiC,Si透過膜材料に比べ厚くする必要があ
る。露光波長域内に吸収端を含む透過膜材料MgO(M
g:K吸収端0.9512nm)、Al2 3 (Al:
K吸収端0.7948nm)の埋め込み構造において
は、何れの吸収体材料においても位相特性|ΔφD |が
改善されないことも判る(表21参照)。
Further, even if the permeable membrane material does not include the absorption edge,
(Tables 17 to 19) show that they are not suitable for phase correction.
Single elements or compounds that combine them |
Δφ DIs not improved (eg, Au T
iOTwoEmbedded structure). Also, the film thickness is different from that of other SiOTwo, S
It must be thicker than rO, SiC, Si permeable membrane material
You. Transmission film material MgO (M
g: K absorption edge 0.9512 nm), AlTwoOThree(Al:
(K absorption edge 0.7948 nm) embedded structure
Is the phase characteristic | Δφ in any absorber material.D|
It can also be seen that there is no improvement (see Table 21).

【0191】[0191]

【表21】 [Table 21]

【0192】以上より、SiO2 ,SrO, SiC,
Si透過膜材料がAu,Cu,Ni吸収体には適してい
ると言える。位相特性は、SrO膜がAu,Cu,Ni
吸収体の位相特性|ΔφD |を最も改善し、それぞれ
0.22π,0.04π,0.07πとし、薄膜化の点
では、SiO2 ,SiC,Si膜が優れている(表20
参照)。
As described above, SiO 2 , SrO, SiC,
It can be said that the Si permeable film material is suitable for the Au, Cu, and Ni absorbers. The phase characteristics are such that the SrO film is made of Au, Cu, Ni
The phase characteristic | Δφ D | of the absorber is most improved to be 0.22π, 0.04π and 0.07π, respectively, and SiO 2 , SiC and Si films are excellent in terms of thinning (Table 20).
reference).

【0193】なお本実施形態では、吸収体と透過膜の膜
厚が等しい(Da =Dt (≡D))としたときの透過膜
による位相特性評価のみを行ったが、図3及び図4に示
すような吸収体と透過膜の膜厚が異なる場合や図2
(b)(c)及び図3(b),図4(b)に示すような
第2の透過膜がある場合においても、式(9) 又は(10)の
何れかの式を用いて評価することは容易であり、その場
合においても同様の位相補償効果があることは明らかで
ある。
In the present embodiment, only the phase characteristics evaluation using the transmission film when the thickness of the absorber and the transmission film are equal (D a = D t (≡D)) is performed. When the thickness of the absorber is different from that of the permeable membrane as shown in FIG.
(B) Even when there is a second permeable membrane as shown in FIG. 3 (c) and FIGS. 3 (b) and 4 (b), evaluation is performed using any one of the equations (9) and (10). It is obvious that the same phase compensation effect can be obtained in such a case.

【0194】(第6の実施形態)第5の実施形態より、
透過膜材料は、吸収体材料に合せた位相補償効果を持つ
材料の選択が必要であることが示されたが、用いる露光
光のX線に対して吸収の小さく透過率の高い物質で、マ
スクコントラストを低下させないことも重要である。こ
こでは、図18〜図22に位相補償効果を持つ以下の透
過膜材料の波長0.2〜1.2nmに対する透過特性を
示す(比較のためにSiO2 膜(膜厚1μm)の透過特
性を全ての図に挿入)。
(Sixth Embodiment) From the fifth embodiment,
It has been shown that it is necessary to select a material having a phase compensation effect according to the absorber material as the transmission film material. It is also important not to reduce the contrast. Here, FIGS. 18 to 22 show the transmission characteristics of the following transmission film materials having a phase compensation effect for wavelengths of 0.2 to 1.2 nm (for comparison, the transmission characteristics of a SiO 2 film (1 μm thick) are shown. Inserted in all figures).

【0195】即ち、Si3 4 ,SiC,Si,ダイヤ
モンド膜(膜厚1μm)の透過特性を図18に示す。M
g,Al,Si単体元素及びその酸化物材料(原子番
号:12〜14)、具体的にはMg,Al,Si,Mg
O,Al2 3 ,SiO2 膜(膜厚1μm)の透過特性
を図19に示す。Ca,Sc,Ti単体元素及びその酸
化物材料(原子番号:20〜22)、具体的にはCa,
Sc,Ti,CaO,Sc2 3 ,TiO2 膜(膜厚1
μm)の透過特性を図20に示す。Sr単体元素及びそ
の化合物材料(原子番号:38)、具体的にはSr,S
rO,SrF2 膜(膜厚1μm)の透過特性を図21に
示す。Y,Zr単体元素及びその化合物材料(原子番
号:39、40)、具体的にはY,Zr,Y2 3 ,Z
rO2 膜(膜厚1μm)の透過特性を図22に示す。
FIG. 18 shows the transmission characteristics of the Si 3 N 4 , SiC, Si, diamond film (1 μm thick). M
g, Al, Si simple element and its oxide material (atomic numbers: 12 to 14), specifically, Mg, Al, Si, Mg
FIG. 19 shows the transmission characteristics of the O, Al 2 O 3 , and SiO 2 films (thickness: 1 μm). Elemental elements of Ca, Sc, and Ti and oxide materials thereof (atomic numbers: 20 to 22).
Sc, Ti, CaO, Sc 2 O 3 , TiO 2 film (film thickness 1)
μm) is shown in FIG. Sr single element and its compound material (atomic number: 38), specifically, Sr, S
FIG. 21 shows the transmission characteristics of the rO, SrF 2 film (thickness: 1 μm). Y, Zr simple element and its compound material (atomic numbers: 39, 40), specifically, Y, Zr, Y 2 O 3 , Z
FIG. 22 shows the transmission characteristics of the rO 2 film (thickness: 1 μm).

【0196】また、図18〜図22に示した物質の位相
特性及び融点を、下記の(表22)に示す。
The phase characteristics and melting points of the substances shown in FIGS. 18 to 22 are shown in the following (Table 22).

【0197】[0197]

【表22】 [Table 22]

【0198】透過特性と(表22)に示す融点の観点か
ら、露光波長域0.7〜1.2nmにおいてはSi,S
3 4 ,SiC,SiO2 ,SrO膜、また露光波長
域0.3〜0.7nmにおいてはダイヤモンド,Ca
O,Sc2 3 ,TiO2 膜が適した透過膜材料である
ことが示される。
From the viewpoint of the transmission characteristics and the melting point shown in Table 22, in the exposure wavelength range of 0.7 to 1.2 nm, Si, S
i 3 N 4 , SiC, SiO 2 , SrO film, and diamond and Ca in the exposure wavelength range of 0.3 to 0.7 nm.
O, Sc 2 O 3 and TiO 2 films are shown to be suitable permeable membrane materials.

【0199】上記透過膜をAu,Cu,Ni吸収体と組
み合わせたときのマスクコントラストの結果を、それぞ
れ下記の(表23〜25)に示す。
The results of mask contrast when the above permeable film is combined with Au, Cu and Ni absorbers are shown in Tables 23 to 25 below.

【0200】[0200]

【表23】 [Table 23]

【0201】[0201]

【表24】 [Table 24]

【0202】[0202]

【表25】 [Table 25]

【0203】SrF2 ,MgO,Al2 3 ,TiO2
膜は、何れの場合も透過膜の無いときに比べマスクコン
トラストは80%以下に低下し、また膜厚も他のSiO
2 ,SrO,SiC,Si透過膜材料に比べ厚くする必
要があるため、不適である。従って、波長域0.6〜1
nmにおいては透過特性が優れ、この波長域で吸収の大
きい吸収体物質に対する位相特性も好適である透過膜材
料は、SiO2 ,SrO,SiC,Siである。
SrF 2 , MgO, Al 2 O 3 , TiO 2
In each case, the mask contrast was reduced to 80% or less as compared with the case where no transmission film was provided, and the film thickness was changed to other SiO 2.
It is not suitable because it needs to be thicker than 2 , 2 , SrO, SiC, Si permeable membrane material. Therefore, the wavelength range of 0.6 to 1
The transmission film material which has excellent transmission characteristics in nm and also has suitable phase characteristics for an absorber substance having a large absorption in this wavelength region is SiO 2 , SrO, SiC, or Si.

【0204】(第7の実施形態)第5及び第6の実施形
態では、Au,Cu,Niを吸収体材料としたときの位
相分散を小さくする単体元素及び化合物を示したが、他
の各種吸収体に対しても位相分散の小さい単体元素を見
つけることは(9),(10)式より容易である。(9),(10)式よ
り吸収体に対して位相分散を補正すると示される単体元
素又はそれらの元素を組み合わせた化合物、多層膜を透
過膜材料に用いたとき、マスクを透過したX線の位相分
散は小さく抑えられる。
(Seventh Embodiment) In the fifth and sixth embodiments, simple elements and compounds that reduce the phase dispersion when Au, Cu, and Ni are used as the absorber material have been described. It is easier to find a simple element having a small phase dispersion for the absorber from the equations (9) and (10). When a single element or a compound combining those elements, which is indicated to correct the phase dispersion with respect to the absorber from the formulas (9) and (10), and when a multilayer film is used as a transmission film material, the X-ray transmitted through the mask is Phase dispersion is kept small.

【0205】従って、吸収体の透過膜埋め込み構造のX
線露光用マスクは、シンクロトロン放射光を用いたX線
等倍露光においても位相シフト効果により回折による解
像度の劣化が抑制されることが期待できることが示され
た。特にSiO2 透過膜付きマスクは、波長域0.6〜
1nmのX線露光における位相シフトマスクとして有効
であり、且つ既存の半導体プロセス技術によりその作成
を容易に行えるため、シンクロトロン放射光露光に最適
な位相シフトマスクの1つであると考えられる。
Therefore, the X of the permeable membrane embedded structure of the absorber is
It has been shown that the line exposure mask can be expected to suppress the degradation of resolution due to diffraction due to the phase shift effect even in X-ray equal-size exposure using synchrotron radiation. In particular, a mask with a SiO 2 permeable film has a wavelength range of 0.6 to
It is considered to be one of the most suitable phase shift masks for synchrotron radiation light exposure because it is effective as a phase shift mask in 1 nm X-ray exposure and can be easily formed by existing semiconductor process technology.

【0206】SiO2 ,ZrO,SrF2 ,SiC,S
iを透過膜を用いたとき、通常のマスクにおけるπ位相
シフトの結果よりNiにおいて、|Δφ|が0.16π
から0.07π〜0.125πに、Cuにおいて、|Δ
φ|が0.125πから0.04π〜0.09πに、ま
たAuにおいては|Δφ|が0.25πから0.22π
〜0.245πに改善できることが判る。特にSrOパ
ターンに埋め込んだ場合、メンブレン上の吸収体とSr
Oを透過したX線のそれぞれの位相φa とφtのシフト
量|φa −φt |は、Ni,Cu,Au吸収体に対し
て、それぞれ|φ a t |≦π±0.07π、π±
0.04π、π±0.22πとなり最も改善される。
The SiOTwo, ZrO, SrFTwo, SiC, S
When i is a transmission film, the π phase in a normal mask
As a result of the shift, in Ni, | Δφ |
From 0.07π to 0.125π in Cu, | Δ
φ | increases from 0.125π to 0.04π to 0.09π,
| Δφ | is from 0.25π to 0.22π
It can be seen that it can be improved to 0.245π. In particular, SrO
When embedded in a turn, the absorber on the membrane and Sr
Each phase φ of X-ray transmitted through OaAnd φtShift
Quantity | φa−φt| For Ni, Cu, Au absorbers
And each | φ at| ≦ π ± 0.07π, π ±
0.04π, π ± 0.22π, which is the most improved.

【0207】同様に、図23〜図25には、吸収体A
u,Cu,Niと上記透過膜材料と組み合わせたときの
位相特性をそれぞれ示している。
Similarly, FIG. 23 to FIG.
The phase characteristics when u, Cu, Ni are combined with the above permeable membrane material are shown.

【0208】ここで、Si3 4 ,SiC,Si,C
(ダイヤモンド)を透過膜として用いるとき、メンブレ
ン材料と同一の物質であるので、メンブレン上に吸収体
パターン形状を直接作成し、吸収体を埋め込むことで位
相制御が可能となるため、メンブレン、透過膜による吸
収を小さく抑え、膜厚の薄膜化及び工程数の減少が可能
であるため、簡便で好適である。
Here, Si 3 N 4 , SiC, Si, C
When (diamond) is used as the permeable membrane, since it is the same substance as the membrane material, it is possible to control the phase by directly creating the absorber pattern shape on the membrane and embedding the absorber. This is simple and preferable because the absorption due to the above can be suppressed, and the film thickness can be reduced and the number of steps can be reduced.

【0209】(第8の実施形態)本実施形態では、図2
〜図4に示す吸収体が好適な透過膜パターンに埋め込ま
れていることを特徴とするX線露光用マスクの作成法、
及び好適な吸収体,透過膜材料について具体的に説明す
る。
(Eighth Embodiment) In the present embodiment, FIG.
A method for producing an X-ray exposure mask, wherein the absorber shown in FIG.
The preferred absorber and permeable membrane material will be specifically described.

【0210】露光波長域が0.6〜1nmのシンクロト
ロン放射光を用いる場合、位相制御するために好適な吸
収体と透過膜材料であると示されたCu吸収体とSiO
2 透過膜を選択し、このときSiO2 透過膜パターンの
溝の深さは所望の位相シフト量とするための膜厚に設定
し、メンブレン材料上にSiO2 膜の成膜及びエッチン
グをし、最後に吸収体材料を溝中に埋め込む形で図2
(a)に示すX線露光用マスクを形成した。Cu吸収体
とSiO2 膜の組み合わせでは、π位相シフトさせるた
めの膜厚は、約0.84μmとなる。SiO2 は、軽い
元素からなりX線の透過性が良く、また容易にエッチン
グが行え、得られるSiO2 パターンの側面を垂直にす
ることが可能なためパターン線幅に対して膜厚の厚くな
る吸収体材料の埋め込みに適した材料である。
In the case where synchrotron radiation having an exposure wavelength range of 0.6 to 1 nm is used, a Cu absorber and a SiO absorber which are shown to be suitable for phase control and a transparent film material are used.
2 Select a permeable film, at this time, the depth of the groove of the SiO 2 permeable film pattern is set to a film thickness for obtaining a desired phase shift amount, a SiO 2 film is formed and etched on the membrane material, Finally, the absorbent material is buried in the groove in FIG.
An X-ray exposure mask shown in (a) was formed. With the combination of the Cu absorber and the SiO 2 film, the film thickness for shifting the phase by π is about 0.84 μm. SiO 2 is made of a light element, has good X-ray transparency, can be easily etched, and can have a vertical side surface of the obtained SiO 2 pattern, so that the film thickness is larger than the pattern line width. It is a material suitable for embedding the absorber material.

【0211】従って、任意の形状のパターンを形成する
ことが可能であり、位相制御のみならず吸収体がパター
ン線幅に対して膜厚の厚くなる場合に好適なマスク構造
である。このとき、メンブレン上の吸収体とSiO2
透過したX線のそれぞれの位相φa とφt のシフト量|
φa −φt |は、それぞれ|φa −φt |≦π±0.0
9πとなり、通常の図8に示すマスクにおけるπ位相シ
フトを行うときの特性|φ1 −φ2 |≦π±0.125
πより大きく改善される。
Therefore, it is possible to form a pattern of an arbitrary shape, and it is a mask structure suitable not only for phase control but also when the absorber becomes thicker with respect to the pattern line width. At this time, the shift amount of each of the phase phi a and phi t of X-rays transmitted through the absorber and SiO 2 on the membrane |
φ a −φ t | is | φ a −φ t | ≦ π ± 0.0, respectively.
9 |, which is the characteristic when performing the π phase shift in the normal mask shown in FIG. 8 | φ 1 −φ 2 | ≦ π ± 0.125
It is improved more than π.

【0212】ここでは、埋め込む技術としてリフロー・
スパッタ法を用いて作成を行った。SiO2 パターン上
に埋め込み材料であるCuの吸収体をスパッタ法により
成膜した後、ウェハを加熱することでパターンの溝(ホ
ール)の中に埋め込み材料を流し込むことで吸収体パタ
ーンは精度良く加工される。高アスペクト比のSiO 2
パターンの微細溝中に吸収体材料を完全に埋め込むこと
や底部及び側面を完全に被覆することは、従来の真空蒸
着法やスパッタ法では困難であり、所望の吸収体パター
ンの形成は極めて困難である。本実施形態では、埋め込
み技術としてリフロー・スパッタ法を用いるためその微
細加工は容易となり、リフロー・スパッタを複数回繰り
返すことにより、CuをSiO2 パターンの微細溝中に
吸収体材料を完全に埋め込み、精度良くマスクパターン
を形成することができた。
[0212] Here, as the embedding technology, reflow
It was created using a sputtering method. SiOTwoOn the pattern
Absorber of Cu, which is a filling material, is sputtered
After film formation, the wafer is heated to form pattern grooves (e.g.
Pours the embedding material into the
Is processed with high precision. High aspect ratio SiO Two
Complete embedding of the absorber material in the fine grooves of the pattern
Complete coverage of the bottom and sides is achieved by conventional vacuum evaporation.
It is difficult with the deposition method and the sputtering method,
Formation is extremely difficult. In this embodiment, the embedding
Since the reflow sputtering method is used as the
Fine processing is easy, and reflow / sputter is repeated several times.
By returning Cu to SiOTwoIn the fine groove of the pattern
Absorber material completely embedded, mask pattern with high accuracy
Could be formed.

【0213】また、リフロー・スパッタ法におけるリフ
ロー加熱は、膜のアニール効果を併せ持ち吸収体及びS
iO2 膜の低応力化され、応力分布の制御が可能であ
り、位置合せ精度や寸法精度の向上をはかることができ
る。また、ここでは透過膜パターン上へ吸収体物質を成
膜する際にスパッタ法を用いて行ったが、そのパターン
溝中の被膜特性(ステップ・カバレッジ)を良くするた
めに化学気相蒸着法を用いても良い。特に、アスペクト
比の高い構造においては、化学気相蒸着法が有効であ
る。
The reflow heating in the reflow / sputtering method has the effect of annealing the film and also has the effect of absorbing and absorbing.
The stress of the iO 2 film is reduced, the stress distribution can be controlled, and the alignment accuracy and dimensional accuracy can be improved. In this case, when the absorber material is formed on the permeable film pattern by using the sputtering method, the chemical vapor deposition method is used to improve the film characteristics (step coverage) in the pattern groove. May be used. In particular, in a structure having a high aspect ratio, a chemical vapor deposition method is effective.

【0214】ここでは、SiO2 膜を透過膜に用いるこ
とにより説明したが、その他にもSiON膜も成膜時の
応力制御が容易であるため透過膜材料として好適な材料
である。また、SiONは、温度500℃,1時間の熱
処理においてもCuのSiON膜中への熱拡散が生じな
いことが各種測定(オージェ電子分光法及びラザフォー
ド後方散乱分光法)により示されており、透過膜パター
ン層として好適な材料である。従って、アスペクト比の
高い凹部への吸収体の埋め込みにおいても、SiON透
過膜においては高温で熱処理を行えるため、熱拡散及び
ボイドのない埋め込みが実現でき、高精度な吸収体パタ
ーンの形成が可能になる。
[0214] Here, the description has been made by using the SiO 2 film as the permeable film. However, an SiON film is also a suitable material as the permeable film material because the stress can be easily controlled at the time of film formation. Various measurements (Auger electron spectroscopy and Rutherford backscattering spectroscopy) show that SiON does not cause thermal diffusion of Cu into the SiON film even at a temperature of 500 ° C. for 1 hour. It is a suitable material for the film pattern layer. Therefore, even when the absorber is buried in the concave portion having a high aspect ratio, the heat treatment can be performed at a high temperature in the SiON permeable film, so that thermal diffusion and void-free burying can be realized, and a highly accurate absorber pattern can be formed. Become.

【0215】ここで用いる吸収体材料としては、リフロ
ー温度を低くするために融点の比較的低い(融点≦15
00℃以下)Mn,Co,Ni,Cu,Zn,Ga,L
a,Ce,Pr,Nd,Sm,Eu,Gd,Tb,D
y,Ho,Yb,Auの単体元素及びこれらの合金を用
いることが望ましい。
The absorbent material used here has a relatively low melting point (melting point ≦ 15) in order to lower the reflow temperature.
00 ° C or less) Mn, Co, Ni, Cu, Zn, Ga, L
a, Ce, Pr, Nd, Sm, Eu, Gd, Tb, D
It is desirable to use simple elements of y, Ho, Yb, and Au and alloys thereof.

【0216】一方、透過膜材料としては、リフロー温度
に耐えられる融点の比較的高い(融点≧1500℃以
下)高融点物質のダイヤモンド,MgO,Al2 3
SiO 2 ,Si3 4 ,SiC,CaO,Ti,TiO
2 ,SrO,SrS,Y2 3,YSi,Zr,ZrO
2 等を用いることが望ましい。従って、波長0.6〜1
nmのシンクロトロン放射光によるX線露光において
は、第6の実施形態で示されたこの波長域の透過特性の
結果を併せて考えると透過膜材料にSiO2 ,SrO,
SiC,Si3 4 ,ダイヤモンドを用いることが望ま
しいことが導かれる。
On the other hand, as the permeable membrane material, the reflow temperature
Relatively high melting point (Melting point ≧ 1500 ° C or less)
Bottom) High melting point diamond, MgO, AlTwoOThree,
SiO Two, SiThreeNFour, SiC, CaO, Ti, TiO
Two, SrO, SrS, YTwoOThree, YSi, Zr, ZrO
TwoIt is desirable to use such as. Therefore, the wavelength 0.6-1
In X-ray exposure with synchrotron radiation of nm
Is the transmission characteristic of this wavelength range shown in the sixth embodiment.
Considering the results together, the permeable membrane material is SiOTwo, SrO,
SiC, SiThreeNFourIt is desirable to use diamond
New things are led.

【0217】また、この他にも、これらを構成する元素
からなる物質、例えばSiON等も同様に好適な透過膜
材料であることは明らかである。
In addition, it is apparent that substances made of the elements constituting these substances, such as SiON, are also suitable permeable membrane materials.

【0218】吸収体材料として融点の比較的低い単体元
素及び合金としてSiO2 透過膜パターンへ埋め込んだ
ときの位相特性を、下記の(表26)に示す。
The following Table 26 shows the phase characteristics when embedded in the SiO 2 permeable film pattern as a single element or alloy having a relatively low melting point as an absorber material.

【0219】[0219]

【表26】 [Table 26]

【0220】何れの単体元素及び合金においても、位相
特性は通常の図8に示すマスクにおけるπ位相シフトを
行うときの特性より大きく改善されることが示された。
It was shown that the phase characteristics of each of the single elements and the alloys were greatly improved over the characteristics obtained when performing the π phase shift in the usual mask shown in FIG.

【0221】以上より、本実施形態における図2〜図4
に示す吸収体が透過膜パターンに埋め込まれていること
を特徴とするX線露光用マスクは、その利点として次の
ことがあげられる。
As described above, FIGS.
The advantage of the X-ray exposure mask characterized in that the absorber described in (1) is embedded in the transmission film pattern is as follows.

【0222】1)第5の実施形態において好適な組み合
わせであると示された吸収体及び透過膜材料のX線露光
用マスクは、波長域0.6〜1nmのシンクロトロン放
射光によるX線露光において好適な位相シフトマスクと
なる。
1) An X-ray exposure mask made of an absorber and a permeable film material, which is indicated as a preferred combination in the fifth embodiment, is an X-ray exposure using synchrotron radiation having a wavelength range of 0.6 to 1 nm. Is a preferable phase shift mask.

【0223】2)SiO2 透過膜は、軽い元素からなり
X線の透過性が良く、また容易にエッチングが行え、得
られるSiO2 パターンの側面を垂直にすることが可能
なためパターン線幅に対して膜厚の厚くなる吸収体材料
の埋め込みに適した材料である。
2) The SiO 2 permeable film is made of a light element, has good X-ray permeability, can be easily etched, and can make the side surface of the obtained SiO 2 pattern vertical so that the line width of the pattern can be reduced. On the other hand, it is a material suitable for embedding an absorber material having a large film thickness.

【0224】3)リフロー・スパッタ法では、パターン
の溝(ホール)中に吸収体材料を加熱し流し込むため、
高アスペクト比の透過膜パターンの微細溝中にも吸収体
材料は完全に埋め込まれ、精度良くマスクパターンを形
成することができる。
3) In the reflow sputtering method, the absorber material is heated and poured into the grooves (holes) of the pattern.
The absorber material is completely embedded in the fine grooves of the transmission film pattern having a high aspect ratio, and a mask pattern can be formed with high accuracy.

【0225】4)フロー加熱により吸収体及び透過膜の
低応力化が図れ、膜応力による歪みが低減される。
4) By the flow heating, the stress of the absorber and the permeable membrane can be reduced, and the distortion due to the membrane stress is reduced.

【0226】5)吸収体及び透過膜の膜厚は等しく平坦
化されているため、吸収体パターンのみが形成されてい
るX線露光用マスクにおける微細パターン凹部に付着し
たゴミ等の異物が存在せず、ゴミ等の異物がその表面に
付着しても、表面を洗浄するだけで除去できる。
5) Since the thicknesses of the absorber and the transmission film are equal and flat, there is no foreign matter such as dust adhering to the fine pattern concave portions in the X-ray exposure mask on which only the absorber pattern is formed. Even if foreign matter such as dust adheres to the surface, it can be removed only by cleaning the surface.

【0227】このように本実施形態では、いかなる所望
位相差のみならずマスクコントラストに対しても精度良
く制御することを可能とする。従って、これらの吸収体
材料が透過膜パターンに埋め込まれていることを特徴と
する本実施形態で示すX線露光用マスクは、シンクロト
ロン放射光を用いたX線等倍露光においても位相シフト
効果により回折による解像度の劣化が抑制され、また低
応力化による膜歪みの低減により位置合せ精度、寸法精
度が向上されるため、0.2μm以下の超微細パターン
形成技術に応用する上で好適なマスクである。
As described above, in the present embodiment, it is possible to precisely control not only a desired phase difference but also a mask contrast. Therefore, the mask for X-ray exposure described in the present embodiment, in which these absorber materials are embedded in the transmission film pattern, has a phase shift effect even in X-ray equal magnification exposure using synchrotron radiation. This suppresses the degradation of resolution due to diffraction, and the alignment accuracy and dimensional accuracy are improved by the reduction of film distortion due to low stress. Therefore, a mask suitable for application to an ultra-fine pattern forming technology of 0.2 μm or less. It is.

【0228】次に、本実施形態では、これらの位相制御
性に優れた吸収体材料と従来の吸収体材料をサイズ0.
1μmの各種パターン(ホール,アイランド,ライン,
スペース,ライン・アンド・スペース)の転写において
用いたときの両者の特性を比較する。マスクとしては図
1、図2〜図4に示すマスク構造、シンクロトロン放射
光は図5に示す波長域0.6〜1nmの放射光強度の光
源、ウェハとマスク間のギャップ長を5〜10μmとし
て等倍近接露光を行ったときのネガレジスト塗布のウェ
ハ上に投影されるX線強度の分布を求めることにより、
それぞれの特性を評価する。吸収体としては、以下の 1)Cu(銅:原子番号29)のSiO2 膜への埋め込
み構造 π位相吸収体膜厚:da=843.01nm π位相シフト量 :|φa−φt|≦π±0.09π 2)Ta(タンタル:原子番号73) π位相吸収体膜厚:da=679.50nm π位相シフト量 :|φ1 −φ2 |≦π±0.54π を用いたときの結果を比較した。
Next, in the present embodiment, the absorber material having the excellent phase controllability and the conventional absorber material have a size of 0.2 mm.
1μm various patterns (holes, islands, lines,
(Space, line and space) are compared. As a mask, the mask structure shown in FIGS. 1 and 2 to 4, the synchrotron radiation light is a light source having a radiation light intensity of a wavelength range of 0.6 to 1 nm shown in FIG. 5, and the gap length between the wafer and the mask is 5 to 10 μm. By obtaining the distribution of the X-ray intensity projected on the wafer coated with the negative resist when the same-size proximity exposure is performed,
Evaluate each characteristic. As the absorber, the following 1) Cu (copper: atomic number 29) embedded structure in SiO 2 film π phase absorber film thickness: da = 843.01 nm π phase shift amount: | φa−φt | ≦ π ± 0.09π 2) Ta (tantalum: atomic number 73) π phase absorber film thickness: da = 679.50 nm π phase shift amount: | φ 1 −φ 2 | ≦ π ± 0.54π Compared.

【0229】このとき、マスク・ウェハ間のギャップ長
を変えたときのウェハ上の各位置におけるドーズ量(或
いは光強度の対数)を求め(通称、この図は Exposure-
GapTrees と呼ばれる)、露光裕度を評価する。あるマ
スク・ウェハ間のギャップ長におけるパターンサイズに
対して、相対差±10%以内のパターンを得るために必
要なそれぞれの露光光のドーズ量間の領域(ここではパ
ターンサイズは100nmなので、90nmサイズにな
るドーズ量と110nmサイズになるドーズ量の間の領
域)を露光裕度(Exposure Latitude)と定義し、ギャ
ップ長を5〜10μmに変えたときの露光裕度を求め
た。また、ホール,アイランド,ライン,スペース,ラ
イン・アンド・スペースの全てのパターンに対する露光
裕度の重なり領域を露光窓(Exposure Window)と定義
した。従って、ここにおける露光裕度,露光窓が大きい
ほど、プロセス裕度,露光裕度があり、高精度のパター
ン転写が可能な優れたX線露光用マスクであるといえ
る。
At this time, the dose (or the logarithm of the light intensity) at each position on the wafer when the gap length between the mask and the wafer is changed (commonly called Exposure-
GapTrees), to evaluate exposure latitude. A region between doses of exposure light required to obtain a pattern having a relative difference of ± 10% or less with respect to a pattern size in a gap length between a certain mask and a wafer (here, since the pattern size is 100 nm, 90 nm size) Is defined as the exposure latitude (Exposure Latitude), and the exposure latitude when the gap length is changed to 5 to 10 μm was determined. In addition, the overlapping area of the exposure latitude for all patterns of holes, islands, lines, spaces, and lines and spaces is defined as an exposure window. Therefore, it can be said that the X-ray exposure mask is an excellent X-ray exposure mask that has a process latitude and an exposure latitude as the exposure latitude and the exposure window are larger.

【0230】Cu−SiO2 ,Taの吸収体膜厚を変
え、その露光特性を評価した結果、Cu−SiO2 のX
線露光用マスクにおいては膜厚約550nmにおいて、
TaのX線露光用マスクにおいては膜厚約400nmに
おいて、露光裕度,露光窓は最大になることが示され
た。この最適膜厚において、Taに比べCu−SiO2
を吸収体に用いたマスクの方がTaを吸収体に用いたマ
スクよりも露光裕度,露光窓は大きく、ギャップ長10
μmにおけるライン・アンド・スペースパターンの露光
裕度の大きさは約1.3倍、ギャップ長5μmにおける
露光窓の大きさは14.4倍もTaに比べて大きい。
[0230] changing the absorber layer thickness of Cu-SiO 2, Ta, a result of evaluation of the exposure characteristics, Cu-SiO 2 of X
In a line exposure mask, at a film thickness of about 550 nm,
In the Ta X-ray exposure mask, it was shown that the exposure latitude and the exposure window were maximized at a film thickness of about 400 nm. At this optimum film thickness, Cu—SiO 2
The mask using Ta as the absorber has a larger exposure latitude and exposure window than the mask using Ta as the absorber, and has a gap length of 10 mm.
The size of the exposure allowance of the line and space pattern in μm is about 1.3 times, and the size of the exposure window in the gap length of 5 μm is 14.4 times larger than that of Ta.

【0231】これらの結果は、Cu−SiO2 ,Ta吸
収体の吸収特性は、ほぼ同じ特性であることから(吸収
体膜厚400nmにおけるCu−SiO2 及びTaのマ
スクコントラストはそれぞれ、3.42〜3.61,
3.43〜3.58、表6参照)、その位相制御性に起
因していることは明らかである。
These results indicate that the absorption characteristics of the Cu—SiO 2 and Ta absorbers are almost the same (the mask contrast of Cu—SiO 2 and Ta at an absorber film thickness of 400 nm is 3.42, respectively). ~ 3.61,
3.43 to 3.58, see Table 6), which is apparently due to its phase controllability.

【0232】等倍X線近接露光においては、マスク・ウ
ェハ間のギャップ長がパターンサイズに比べ大きく光の
回折の影響や幾何学的光路差が生じるために、吸収体に
よる位相シフト量は必ずしもπが最適になるとは限らな
いが、膜厚が変化しても位相シフト量の波長域における
変位の割合は変化しないため、位相シフト量の制御性が
重要であることに代わりはない。
In the equal-size X-ray proximity exposure, since the gap length between the mask and the wafer is larger than the pattern size and the influence of light diffraction and a geometrical optical path difference occur, the phase shift amount due to the absorber is not necessarily π. Is not always optimal, but since the rate of displacement of the phase shift amount in the wavelength region does not change even when the film thickness changes, controllability of the phase shift amount is indispensable.

【0233】Cu-SiO2 は以下の 1)Δφ≦0.10πを満たすもの(0.95≦|co
sφ|≦1) 露光波長域内の波長に対する位相シフト量の最大及び最
小位相シフト量が露光波長域内の平均位相シフト量の±
10%以内 2)π位相シフト膜厚におけるマスクコントラスト値C
が10<C<20を満たすもの 3)π位相シフト膜厚da ≦1000nmを満たすもの
(L/Sの幅0.1μmのパターンにおいてアスペクト
比10以下)の3条件全てを満たす好適な吸収体材料で
ある。
Cu—SiO 2 satisfies the following 1) Δφ ≦ 0.10π (0.95 ≦ | co
sφ | ≦ 1) The maximum and the minimum phase shift amount of the phase shift amount with respect to the wavelength within the exposure wavelength range are ± the average phase shift amount within the exposure wavelength range.
Within 10% 2) Mask contrast value C at π phase shift film thickness
3) A suitable absorber which satisfies all three conditions of π phase shift film thickness d a ≦ 1000 nm (aspect ratio 10 or less in L / S 0.1 μm width pattern) Material.

【0234】これらの材料を吸収体に用いたX線露光用
マスクにより露光する手法は、マスクコントラストは適
切であり、吸収体を透過した波の位相シフト量の差が広
い露光波長の帯域に渡りほぼ一定となり、透過波の位相
シフト量は制御され位相シフトマスクの効果を併せ持つ
ことより、転写パターンの解像度は格段に向上し、微細
パターンの転写に好適である。また、ここでは位相シフ
ト量をπとしたときの結果について説明したが、X線露
光用マスクのようなハーフ・トーン型マスクにおいては
位相シフト量がπとなる条件が必ずしも最適ではなく、
吸収特性(マスクコントラスト)と位相特性の両者の関
係が重要となり、転写するパターン、マスクとウェハ間
のギャップ長、レジスト材料の実験条件により好適なマ
スクコントラスト値、位相シフト量は変化するが、第3
から第7の実施形態において示された上記の条件を満た
す吸収体材料及び吸収体材料と透過膜材料の組み合わせ
を用いれば、所望のマスクコントラスト値と位相シフト
量を持ったX線露光用マスク(位相シフトマスク)を形
成することが容易であることは明らかである。また、
(表11,14,26)の物質や上述した以外の組成
比、グループIII とIV、またグループIとIVの組み合わ
せの2元化合物においても3つの条件を満たす物質は存
在する。
In the method of exposing these materials using an X-ray exposure mask using an absorber, the mask contrast is appropriate and the difference in the phase shift amount of the wave transmitted through the absorber over a wide range of exposure wavelengths. It becomes substantially constant, the phase shift amount of the transmitted wave is controlled, and the effect of the phase shift mask is also provided. Therefore, the resolution of the transfer pattern is remarkably improved, which is suitable for transferring a fine pattern. In addition, here, the result when the phase shift amount is π is described. However, in a halftone mask such as an X-ray exposure mask, the condition that the phase shift amount is π is not always optimal.
The relationship between the absorption characteristic (mask contrast) and the phase characteristic becomes important, and the suitable mask contrast value and phase shift amount vary depending on the pattern to be transferred, the gap length between the mask and the wafer, and the experimental conditions of the resist material. 3
If the absorber material and the combination of the absorber material and the transmission film material satisfying the above conditions described in the seventh to seventh embodiments are used, an X-ray exposure mask having a desired mask contrast value and phase shift amount ( It is clear that it is easy to form a phase shift mask). Also,
There are substances satisfying the three conditions also in the substances shown in (Tables 11, 14, and 26) and the binary compounds of the composition ratios other than those described above, the groups III and IV, and the combinations of the groups I and IV.

【0235】ここでは吸収体としてCo(コバルト),
Ni(ニッケル),Cu(銅),Zn(亜鉛),Ga
(ガリウム),ランタノイド系希土類元素(原子番号5
7〜71)のLa(ランタン),Ce(セリウム),P
r(プラセオジム),Nd(ネオジム),Pm(プロメ
チウム),Sm(サマリウム),Eu(ユウロピウ
ム),Gd(ガドリニウム),Tb(テルビウム),D
y(ジスプロシウム),Ho(ホロミウム),Er(エ
ルビウム),Tm(ツリウム),Yb(イッテルビウ
ム),Lu(ルテチウム)及びこれらの合金、またCr
(クロム),Mn(マンガン),Fe(鉄),Hf(ハ
フニウム),Ta(タンタル),W(タングステン),
Re(レニウム),Os(オスミウム),Ir(イリジ
ウム),Pt(白金),Au(金),Hg(水銀)の何
れかの元素とランタノイド系希土類元素(原子番号57
〜71)の何れかの元素との合金を用いたとき、所望の
位相シフト量の制御、マスクコントラストを精度良く得
ることができることが明らかになった。
Here, Co (cobalt),
Ni (nickel), Cu (copper), Zn (zinc), Ga
(Gallium), lanthanoid rare earth element (atomic number 5
7-71) La (lanthanum), Ce (cerium), P
r (praseodymium), Nd (neodymium), Pm (promethium), Sm (samarium), Eu (europium), Gd (gadolinium), Tb (terbium), D
y (dysprosium), Ho (holmium), Er (erbium), Tm (thulium), Yb (ytterbium), Lu (lutetium) and alloys thereof, and Cr
(Chromium), Mn (manganese), Fe (iron), Hf (hafnium), Ta (tantalum), W (tungsten),
Any of Re (rhenium), Os (osmium), Ir (iridium), Pt (platinum), Au (gold), Hg (mercury) and a lanthanoid rare earth element (atomic number 57)
It has been clarified that when an alloy with any one of the elements (1) to (7) is used, a desired amount of phase shift can be controlled and a mask contrast can be accurately obtained.

【0236】(第9の実施形態)第8の実施形態では、
吸収体材料としては透過膜材料よりも融点の低い物質を
選んだときのX線吸収体及び透過膜の形成法について述
べたが、本実施形態では、それとは反対に吸収体に融点
の高い吸収体材料としては透過膜材料よりも融点の高い
物質を選んだときのX線吸収体及び透過膜の形成法につ
いて述べる。
(Ninth Embodiment) In the eighth embodiment,
Although the method of forming the X-ray absorber and the permeable film when a substance having a lower melting point than the permeable membrane material is selected as the absorber material has been described, in the present embodiment, on the contrary, the absorber having a higher melting point is added to the absorber. The method of forming the X-ray absorber and the permeable membrane when a substance having a higher melting point than the permeable membrane material is selected as the body material will be described.

【0237】吸収体パターンをはじめに形成し、その後
に透過膜を吸収体パターン上に堆積して成膜することに
より、透過膜を吸収体パターン溝中に埋め込む。このと
きの堆積,成膜工程はスパッタリング法又は化学気相蒸
着法により行い、この工程に引き続き行われる加熱工程
により吸収体パターン溝中に透過膜を埋め込む。
An absorber pattern is formed first, and then a permeable film is deposited on the absorber pattern to form a film, so that the permeable film is embedded in the absorber pattern groove. The deposition and film formation processes at this time are performed by a sputtering method or a chemical vapor deposition method, and a permeable film is buried in the absorber pattern groove by a heating process performed subsequently to this process.

【0238】本実施形態では、波長0.6〜1nmのシ
ンクロトロン放射光によるX線露光において透過膜材料
としてSrを、吸収体材料としてはAuを用いた。Sr
とAuはその融点がそれぞれ770℃,1064℃であ
り、リフロー工程により図2〜図4に示す吸収体パター
ン溝中に透過膜が埋め込まれた構造を形成することが可
能である。前記(9')式より、Sr透過膜はAuに対して
位相補償効果の大きい元素であることが示され(表17
参照)、実際に位相分散は透過膜によりΔφD=0.2
5πからΔφD =0.225πに改善できる。同様に、
吸収体材料をPtに代えてもSr透過膜により、位相分
散をΔφD =0.27πからΔφD =0.25πに改善
できる。
In this embodiment, Sr was used as a transmission film material and Au was used as an absorber material in X-ray exposure using synchrotron radiation having a wavelength of 0.6 to 1 nm. Sr
And Au have melting points of 770 ° C. and 1064 ° C., respectively, and can form a structure in which a permeable film is embedded in the absorber pattern groove shown in FIGS. 2 to 4 by a reflow process. The expression (9 ′) indicates that the Sr permeable film is an element having a large phase compensation effect on Au (Table 17).
), The phase dispersion is actually Δφ D = 0.2
Δφ D can be improved from 5π to 0.225π. Similarly,
The absorber material by Sr permeable membrane be replaced by Pt, the phase dispersion can be improved from Δφ D = 0.27π to Δφ D = 0.25π.

【0239】以上より、ここで用いる透過膜材料として
は吸収体材料に比べ融点が低い物質で、且つ全ての吸収
端が、露光波長域の最短波長以下或いは最長波長以上の
領域である元素、或いは最短波長近傍(0.1nm以
内)に吸収端を持つ元素からなる単体元素,化合物,積
層膜であり、また露光波長に対して吸収が小さく透過率
の高い物質が望ましい。
As described above, the permeable membrane material used here is an element whose melting point is lower than that of the absorber material, and whose absorption edges are all in the region shorter than the shortest wavelength or longer than the longest wavelength of the exposure wavelength region, or It is a simple element, a compound, or a laminated film composed of an element having an absorption edge in the vicinity of the shortest wavelength (within 0.1 nm).

【0240】最大光強度波長を0.6〜1nmに持つシ
ンクロトロン放射光を用いた露光において上記条件に該
当する物質は、融点が1000℃以下のCa,Sr,B
a単体元素及びこれらの化合物が挙げられる。
In exposure using synchrotron radiation having a maximum light intensity wavelength of 0.6 to 1 nm, substances meeting the above conditions include Ca, Sr, B having a melting point of 1000 ° C. or less.
a elemental element a and these compounds.

【0241】一方、吸収体材料は透過膜材料の融点より
も高い物質であり、且つ全ての吸収端が、露光波長域の
最短波長以下或いは最長波長以上の領域である元素或い
は単体元素,化合物,積層膜であり、また露光波長に対
して吸収の大きい物質が望ましい。
On the other hand, the absorber material is a substance whose melting point is higher than the melting point of the permeable membrane material, and all the absorption edges are in the region of the shortest wavelength or less or the longest wavelength or more of the exposure wavelength range. It is desirable to use a material which is a laminated film and has a large absorption at the exposure wavelength.

【0242】最大光強度波長を0.6〜1nmに持つシ
ンクロトロン放射光を用いた露光において上記条件に該
当する物質は、融点が1500℃以上のOs,Ir,P
t単体元素及びこれらの合金が挙げられる。
In exposure using synchrotron radiation having a maximum light intensity wavelength in the range of 0.6 to 1 nm, substances meeting the above conditions have a melting point of Os, Ir, P having a melting point of 1500 ° C. or more.
t elemental elements and alloys thereof.

【0243】(第10の実施形態)本実施形態では、シ
ンクロトロン放射光等の広帯域の露光光源を用いたとき
において、吸収体に各種単体元素,化合物を用いたとき
の、それぞれの元素,化合物に対して位相制御性に優れ
る露光波長域を示す。吸収体材料に好適な露光波長域内
に波長分布を持つ露光光源を用いたとき、露光光の波長
域にわたり位相シフト量が一定となり、位相シフト効果
により、露光対象物のパターン精度の向上がはかれる。
(Tenth Embodiment) In this embodiment, when a broadband exposure light source such as synchrotron radiation is used, each element and compound when various simple elements and compounds are used for the absorber are used. Shows an exposure wavelength range excellent in phase controllability. When an exposure light source having a wavelength distribution within the exposure wavelength range suitable for the absorber material is used, the amount of phase shift is constant over the wavelength range of the exposure light, and the phase shift effect improves the pattern accuracy of the exposure object.

【0244】露光波長域としては、X線露光用マスクに
入射する最大光強度の波長における光強度の1/10以
上の強度を持つ波長域を露光波長域と定義し、このとき
図1に示すπ位相シフトマスクにおいて 1)|Δφ|≦0.10π(0.95≦|cos φ|≦1)を満た
す露光波長域 但し、φ:吸収体膜厚がπ位相シフト平均膜厚におい
て、露光波長域内における位相πからの最大変位量。従
って、1)は露光波長域内の波長に対する位相シフト量
の最大及び最小位相シフト量が該露光波長域内の平均位
相シフト量の±10%以内を意味する。
As the exposure wavelength range, a wavelength range having an intensity of 1/10 or more of the light intensity at the wavelength of the maximum light intensity incident on the X-ray exposure mask is defined as an exposure wavelength range. In a π phase shift mask 1) Exposure wavelength range that satisfies | Δφ | ≦ 0.10π (0.95 ≦ | cos φ | ≦ 1) where φ: Absorber film thickness in π phase shift average film thickness within exposure wavelength range Maximum displacement from π. Accordingly, 1) means that the maximum and minimum phase shift amounts with respect to wavelengths within the exposure wavelength range are within ± 10% of the average phase shift amount within the exposure wavelength range.

【0245】2)Δλ=露光波長域の最長波長−露光波
長域の最短波長≧0.4nm 3)露光波長域の最短波長が1.5nm以下 を満たす単体元素及び化合物について下記の(表27,
28)に示す。
2) Δλ = the longest wavelength of the exposure wavelength range−the shortest wavelength of the exposure wavelength range ≧ 0.4 nm 3) The simplest elements and compounds satisfying the shortest wavelength of the exposure wavelength range of 1.5 nm or less are shown in Table 27 below.
28).

【0246】[0246]

【表27】 [Table 27]

【0247】[0247]

【表28】 [Table 28]

【0248】このとき、各元素及び化合物を露光波長域
の最短波長により以下の5つに分類し、 i)最短波長0.4〜0.6nm ii)最短波長0.6〜0.8nm iii)最短波長0.8〜1nm iv)最短波長1.0〜1.2nm v)最短波長1.2〜1.5nm また露光波長域の中心波長における吸収係数α、π位相
シフト平均膜厚d、及び1/exp(−α×d)を示し
ている。シンクロトロン放射光の強度分布等によりマス
クコントラスト値は変化するが、ここで1/exp(−
α×d)は、|Δφ|≦0.10π(0.95≦|cos φ|≦
1)を満たす露光波長域を用いたときのマスクコントラ
スト値の目安となる。
At this time, each element and compound are classified into the following five according to the shortest wavelength in the exposure wavelength range, i) shortest wavelength 0.4 to 0.6 nm ii) shortest wavelength 0.6 to 0.8 nm iii) Iv) Shortest wavelength 1.0 to 1.2 nm v) Shortest wavelength 1.2 to 1.5 nm Further, absorption coefficient α at the center wavelength of the exposure wavelength region, π phase shift average film thickness d, and 1 / exp (−α × d) is shown. The mask contrast value changes depending on the intensity distribution of the synchrotron radiation, etc. Here, 1 / exp (−
α × d) is | Δφ | ≦ 0.10π (0.95 ≦ | cos φ | ≦
This is a measure of the mask contrast value when the exposure wavelength range that satisfies 1) is used.

【0249】(表27,28)に挙げた各元素及び化合
物は、何れも1/exp(−α×d)の値は大きく、こ
の表で示されている露光波長域内に波長分布を持つシン
クロトロン放射光を用いた場合、位相,吸収特性に優れ
た吸収体材料となることは明らかである。従って、露光
に用いるシンクロトロン放射光の露光波長域に合わせて
吸収体の材料を選ぶことが重要である。
Each of the elements and compounds listed in Tables 27 and 28 has a large value of 1 / exp (−α × d), and has a synchrotron having a wavelength distribution within the exposure wavelength range shown in this table. It is clear that the use of synchrotron radiation results in an absorber material having excellent phase and absorption characteristics. Therefore, it is important to select a material for the absorber according to the exposure wavelength range of synchrotron radiation used for exposure.

【0250】また、原子番号71から79のLuからA
uまでの単体元素及びその化合物は、以下に示す波長
域、 Lu:1.36nm≦λ≦7.37nm Ta:1.27nm≦λ≦7.08nm Ta4 B:1.27nm≦λ≦7.08nm Ta4 Ge:1.35nm≦λ≦8.07nm W:1.25nm≦λ≦6.92nm Re:1.21nm≦λ≦5.89nm Os:1.49nm≦λ≦5.98nm Ir:1.34nm≦λ≦7.40nm Pt:1.28nm≦λ≦5.37nm Au:1.03nm≦λ≦5.57nm の広い波長域において、露光波長域Δλを0.4nm以
上で、|Δφ|≦0.10π(0.95≦|cos φ|≦1)を満
たすことが可能である。また、波長1nm以上の波長域
では何れの元素、化合物も吸収が大きく、π位相シフト
膜厚も薄くなるため、この露光波長域における好適な吸
収体材料である。
Further, from Lu of atomic numbers 71 to 79 to A
The elemental elements up to u and their compounds are in the following wavelength range: Lu: 1.36 nm ≦ λ ≦ 7.37 nm Ta: 1.27 nm ≦ λ ≦ 7.08 nm Ta 4 B: 1.27 nm ≦ λ ≦ 7. 08 nm Ta 4 Ge: 1.35 nm ≦ λ ≦ 8.07 nm W: 1.25 nm ≦ λ ≦ 6.92 nm Re: 1.21 nm ≦ λ ≦ 5.89 nm Os: 1.49 nm ≦ λ ≦ 5.98 nm Ir: 1 0.34 nm ≦ λ ≦ 7.40 nm Pt: 1.28 nm ≦ λ ≦ 5.37 nm Au: 1.03 nm ≦ λ ≦ 5.57 nm In a wide wavelength range, when the exposure wavelength range Δλ is 0.4 nm or more, | Δφ | ≦ 0.10π (0.95 ≦ | cos φ | ≦ 1). Further, in the wavelength range of 1 nm or more, any of the elements and compounds has a large absorption and the π phase shift film thickness is small, so that they are suitable absorber materials in this exposure wavelength range.

【0251】(第11の実施形態)次に、上記説明した
マスクを用いた微小デバイス(半導体装置,薄膜磁気ヘ
ッド,マイクロマシン等)製造用の露光装置の実施形態
を説明する。
(Eleventh Embodiment) Next, an embodiment of an exposure apparatus for manufacturing a micro device (semiconductor device, thin-film magnetic head, micromachine, etc.) using the above-described mask will be described.

【0252】図26は、本実施形態のX線露光装置の構
成を示す図である。シンクロトロン放射光源14から放
射された光を集光ミラー22にて集光し、X線強度を高
め揺動ミラー23にて平行光に整形し露光領域を走査し
て露光面積を広げた。ここで、シンクロトロン放射光を
X線ミラーによって反射させる露光方法において、露光
位置によって波長分布に大きな差が生じるが、本実施形
態におけるX線露光用マスクでは、位相シフト量が波長
依存性を示さないため、露光むらや解像度の劣化を抑え
ることができる。
FIG. 26 is a diagram showing the configuration of the X-ray exposure apparatus of the present embodiment. The light emitted from the synchrotron radiation light source 14 was condensed by the condensing mirror 22, the X-ray intensity was increased, the light was shaped into parallel light by the oscillating mirror 23, and the exposure area was scanned to increase the exposure area. Here, in the exposure method in which the synchrotron radiation light is reflected by the X-ray mirror, a large difference occurs in the wavelength distribution depending on the exposure position. However, in the X-ray exposure mask according to the present embodiment, the phase shift amount shows wavelength dependence. Therefore, uneven exposure and deterioration of resolution can be suppressed.

【0253】X線取り出し窓には、ダイヤモンド窓2
4,ベリリウム窓25,窒化珪素窓26の3段とし、そ
れぞれ超高真空A/高真空B、高真空B/大気圧ヘリウ
ムC、ヘリウムC/空気Dを隔離した。X線露光用マス
ク27は上記説明した何れかの実施形態で説明した構造
を有し、メンブレンを透過した露光光の最大強度波長が
0.6〜1nmになるように上記条件を設定した。X線
露光用マスク27に形成されているパターンを、ステッ
プアンドリピート方式やスキャニング方式等によって、
ウェハステージ28に保持したウェハ29上に露光転写
する。
The X-ray extraction window has a diamond window 2
4, a beryllium window 25 and a silicon nitride window 26 were provided in three stages, respectively, to isolate ultrahigh vacuum A / high vacuum B, high vacuum B / atmospheric pressure helium C, and helium C / air D. The X-ray exposure mask 27 has the structure described in any of the embodiments described above, and the above conditions are set so that the maximum intensity wavelength of the exposure light transmitted through the membrane is 0.6 to 1 nm. The pattern formed on the X-ray exposure mask 27 is changed by a step-and-repeat method, a scanning method, or the like.
Exposure transfer is performed on a wafer 29 held on a wafer stage 28.

【0254】(第12の実施形態)次に、本発明のX線
露光用マスクの製造方法について説明する。
(Twelfth Embodiment) Next, a method of manufacturing an X-ray exposure mask according to the present invention will be described.

【0255】図27及び図28は、本発明の第12の実
施形態に係わるX線露光用マスクの製造工程を示す断面
図である。
FIGS. 27 and 28 are sectional views showing the steps of manufacturing an X-ray exposure mask according to the twelfth embodiment of the present invention.

【0256】まず、図27(a)に示すように、洗浄さ
れた厚さ525μmの4インチSi(100)ウェハ1
01に減圧CVD法を用いて、基板温度1250℃,圧
力30Torrの条件で、10%水素希釈のシランガス15
0sccm,10%水素希釈のアセチレンガス65sccm,1
00%塩化水素ガス150sccmをキャリアガスである水
素10SLM と共に反応管内に導入し、X線透過性薄膜1
02となる膜厚2μmのSiCを成膜した。続いて、こ
の基板の表面にRFスパッタリング装置を用いて、Ar
圧力1mTorrの条件で、反射防止膜兼エッチングストッ
パ103となる膜厚98nmのアルミナ膜を成膜した。
そして、反射防止膜兼エッチングストッパ103上に、
TEOSを主原料とするCVD法により、膜厚0.8μ
mのパターニング層104となるSiO2 膜を形成し、
成膜後にアニール処理を施すことにより、SiO2 膜の
応力をほぼ0MPaに調整した。
First, as shown in FIG. 27A, a 525 μm-thick 4-inch Si (100) wafer 1
01, a silane gas 15 diluted with 10% hydrogen was used at a substrate temperature of 1250 ° C. and a pressure of 30 Torr by using a low pressure CVD method.
Acetylene gas 65sccm, 0sccm, 10% hydrogen dilution
100% hydrogen chloride gas 150 sccm was introduced into the reaction tube together with 10 SLM of hydrogen as a carrier gas, and the X-ray transparent thin film 1 was introduced.
A 2 μm-thick SiC film having a thickness of 02 was formed. Subsequently, the surface of the substrate was coated with Ar using an RF sputtering apparatus.
Under a pressure of 1 mTorr, a 98 nm-thick alumina film serving as an antireflection film and an etching stopper 103 was formed.
Then, on the anti-reflection film / etching stopper 103,
0.8 μm in film thickness by CVD using TEOS as a main material
forming an SiO 2 film to be a patterning layer 104 of m
The stress of the SiO 2 film was adjusted to almost 0 MPa by performing an annealing treatment after the film formation.

【0257】次いで、図27(b)に示すように、RI
E装置を用いて、アルミニウムエッチングマスク(図示
せず)を用い、圧力10mTorr,RFパワー200Wの
条件で、CF4 ガス25sccm,O2 ガス40sccmを供給
し、裏面の中心部の半径70mmの領域のSiC膜を除
去し、バックエッチングのマスクとなる開口領域105
を形成した。
Next, as shown in FIG.
Using an E apparatus, using an aluminum etching mask (not shown), a pressure of 10 mTorr and an RF power of 200 W, a CF 4 gas of 25 sccm and an O 2 gas of 40 sccm are supplied, and a 70 mm radius area at the center of the back surface is used. The opening region 105 serving as a mask for back etching by removing the SiC film.
Was formed.

【0258】次いで、図27(c)に示すように、紫外
線硬化型エポキシ樹脂接着剤(図示せず)を用いて、外
径125mm,内径72mm,厚さ6.2mmのガラス
リングをフレーム106として接合し、基板を作成し
た。さらに、バックエッチング装置を用いて、SiCの
除去された部分に弗酸と硝酸の1対1混合液を滴下し、
Siウェハ101をエッチング除去した。
Next, as shown in FIG. 27C, a glass ring having an outer diameter of 125 mm, an inner diameter of 72 mm, and a thickness of 6.2 mm was formed as a frame 106 using an ultraviolet-curable epoxy resin adhesive (not shown). The substrates were joined to form a substrate. Further, using a back etching apparatus, a 1: 1 mixture of hydrofluoric acid and nitric acid is dropped on the portion where the SiC has been removed,
The Si wafer 101 was removed by etching.

【0259】次いで、図27(d)に示すように、Si
2 膜104上に市販の電子ビーム用ポジ型レジストZ
EP−520(粘度12cps)を回転数2000rp
m,50秒の条件で回転塗布し、ホットプレートを用い
て175℃,2分間のベーク処理を行い、膜厚0.3μ
mの感光膜107を形成した。そして、加速電圧75k
Vの電子ビーム描画装置を用いて、感光膜107にパタ
ーン描画を行った。所望の描画精度を得るために、描画
は4回の重ね書きによりパターンを形成する多重描画を
行い、基準照射量を96μC/cm2 として、照射量補
正により近接効果補正を行った。
Next, as shown in FIG.
Commercially available positive resist for electron beam Z on O 2 film 104
EP-520 (viscosity 12 cps) with rotation speed 2000 rpm
m, 50 seconds, and baked at 175 ° C. for 2 minutes using a hot plate.
m of the photosensitive film 107 was formed. And the acceleration voltage 75k
A pattern was drawn on the photosensitive film 107 using a V electron beam drawing apparatus. In order to obtain a desired writing accuracy, writing was performed by multiple writing in which a pattern was formed by overwriting four times, and the proximity effect correction was performed by correcting the irradiation amount while setting the reference irradiation amount to 96 μC / cm 2 .

【0260】パターンの描画後、現像処理として市販の
現像液ZEP−RDを用いて液温18℃,1分間の条件
で現像を行い、引き続きMIBKで1分間のリンスを行
い現像液を除去した。続いて、形成されたレジストパタ
ーンを基に、CHF3 及びCOガスを用いて、反応性イ
オンエッチングによりSiO2 膜104を加工した。そ
の後、残留したレジスト107を酸素プラズマ中で灰化
処理して除去した後、硫酸と過酸化水素水の混合液中で
洗浄した。
After the pattern was drawn, development was carried out using a commercially available developer ZEP-RD at a liquid temperature of 18 ° C. for 1 minute, followed by rinsing with MIBK for 1 minute to remove the developer. Subsequently, based on the formed resist pattern, the SiO 2 film 104 was processed by reactive ion etching using CHF 3 and CO gas. Thereafter, the remaining resist 107 was removed by ashing in oxygen plasma and then washed in a mixed solution of sulfuric acid and hydrogen peroxide.

【0261】次いで、図28(a)に示すように、RF
スパッタリング装置を用いてAr圧力3mTorrの条件
で、X線吸収体108となる膜厚0.6μmの銅(C
u)膜を成膜し、続いて図28(b)に示すように、ス
パッタリングと同一真空中で、550℃,1分間の熱処
理を施し、SiO2 膜104のパターンの凹部に凝集埋
込を行った。
Next, as shown in FIG.
Under a condition of an Ar pressure of 3 mTorr using a sputtering apparatus, a 0.6 μm-thick copper (C
forming a u) film, Then, as shown in FIG. 28 (b), in the sputtering in the same vacuum, 550 ° C., subjected to a thermal treatment for one minute, the aggregating buried in the recesses of the pattern of the SiO 2 film 104 went.

【0262】最後に、余分なCuの除去はレジストエッ
チバックと呼ばれる以下の方法で行った。まず、先程の
レジスト塗布に用いた装置と同一の装置で、図28
(c)に示すように、市販の電子ビーム用レジストZE
P−520(粘度12cps)を回転数2000rp
m,50秒の条件で回転塗布し、ホットプレートを用い
で175℃,2分間のベーク処理を行い、膜厚0.3μ
mのレジスト膜109を形成した。このとき、回転塗布
の特性から、表面はほぼ平坦な塗布形状となる。
Finally, unnecessary Cu was removed by the following method called resist etch back. First, the same apparatus as used in the previous resist coating was used, as shown in FIG.
As shown in (c), a commercially available electron beam resist ZE
P-520 (viscosity 12 cps) with rotation speed 2000 rpm
m, 50 seconds, and baked at 175 ° C. for 2 minutes using a hot plate.
m of the resist film 109 was formed. At this time, due to the characteristics of spin coating, the surface has a substantially flat coating shape.

【0263】次いで、図28(d)に示すように、HB
rガスを用いた反応性イオンエッチングにより、レジス
ト膜109とCu膜108のエッチング速度がほぼ等し
くなる条件で、マスク表面をSiO2 表面が露出するま
でエッチングした。
Next, as shown in FIG.
The mask surface was etched by reactive ion etching using r gas until the SiO 2 surface was exposed, under the condition that the etching rates of the resist film 109 and the Cu film 108 were almost equal.

【0264】以上の方法により、所望のX線露光用マス
クを作成することができるが、本実施形態により作成し
たマスクには以下のような利点があることが判明した。
まず第1に、吸収体の内部応力を所望の値に制御するこ
とができ、これにより高精度のX線露光用マスクを容易
に得ることが可能になるということである。これは、次
の理由による。
A desired mask for X-ray exposure can be prepared by the above method. However, it has been found that the mask prepared according to the present embodiment has the following advantages.
First, it is possible to control the internal stress of the absorber to a desired value, which makes it possible to easily obtain a highly accurate X-ray exposure mask. This is for the following reason.

【0265】従来の反応性イオンエッチングで直接加工
したX線吸収体では、吸収体の成膜時に発生する内部応
力がそのまま残留するため、高精度のX線露光用マスク
を作成するためには、吸収体の成膜条件を高精度に制御
する必要があり、面内の応力分布の発生も5MPa以下
といった極めて小さい値に抑制する必要があった。これ
に対し本実施形態では、吸収体の成膜後に加熱処理を行
うことにより凝集埋め込みを行う、いわゆるリフローを
引き起こさせているので、成膜時の内部応力は流動時に
一旦開放されるため、内部応力はリフロー工程にのみ依
存する。即ち、面内均一性を含めたリフロー工程の温度
制御さえ十分に管理されていれば、所望の内部応力の吸
収体を形成することが可能である。通常、スパッタリン
グ工程の応力管理よりも、リフロー工程の温度管理によ
る応力調整の方が遙かに容易であり、1MPa程度の応
力分布を得ることが可能であるため、本実施形態により
高精度のX線露光用マスクを容易に得ることが可能とな
る。
In the conventional X-ray absorber directly processed by reactive ion etching, the internal stress generated during the film formation of the absorber remains as it is. Therefore, in order to form a highly accurate mask for X-ray exposure, It was necessary to control the film-forming conditions of the absorber with high accuracy, and it was necessary to suppress the occurrence of in-plane stress distribution to an extremely small value of 5 MPa or less. On the other hand, in the present embodiment, the so-called reflow is caused by performing the coagulation embedding by performing the heat treatment after the film formation of the absorber. Stress depends only on the reflow process. That is, as long as the temperature control in the reflow process including the in-plane uniformity is sufficiently controlled, it is possible to form an absorber having a desired internal stress. Normally, stress adjustment by temperature control in the reflow step is much easier than stress control in the sputtering step, and a stress distribution of about 1 MPa can be obtained. A line exposure mask can be easily obtained.

【0266】第2に、本実施形態ではマスクパターンの
形成のために行われる第1のレジスト塗布工程と、レジ
ストエッチバックを行うための第2のレジスト塗布工程
と同一の塗布特性を有する装置、より望ましくは同一の
装置を用いているため、以下に述べるように、作成され
たマスクを用いて転写露光を行う際に寸法精度の向上を
はかることが可能となる。
Second, in this embodiment, an apparatus having the same coating characteristics as those of the first resist coating step for forming a mask pattern and the second resist coating step for performing resist etch-back, More preferably, since the same apparatus is used, it is possible to improve dimensional accuracy when performing transfer exposure using the prepared mask, as described below.

【0267】一般に知られているように、レジスト膜厚
に分布がある場合に電子ピーム描画・現像を行うと、同
一パターンを同一条件で描画しても、図29(a)に示
すように、レジスト膜厚に対応して、レジスト膜厚の厚
い部分では薄い部分よりも寸法が小さくなる。具体的に
は、1%のレジスト膜厚変動で、1%程度の寸法変動が
発生する場合も存在する。ところが、本実施形態のよう
に、同一の塗布特性を持つレジスト塗布装置を用いてレ
ジストエッチバック工程を行うと、電子ビーム描画の際
にレジスト膜厚が厚かったために寸法が小さくなってし
まった部分は、図29(b)に示すように、レジストエ
ッチバック工程においてもレジスト膜厚が厚くなるた
め、レジストエッチバック工程の終了後には、図29
(c)に示すように、レジスト膜厚の分布のために吸収
体が小さくなってしまった部分では、吸収体が大きくな
ってしまった部分よりも膜厚の厚い吸収体が形成され
る。
As is generally known, when electron beam drawing / developing is performed in the case where there is a distribution in the resist film thickness, even if the same pattern is drawn under the same conditions, as shown in FIG. Corresponding to the resist film thickness, the dimension is smaller in the thick resist portion than in the thin resist portion. Specifically, there is a case where a dimensional change of about 1% occurs with a resist film thickness change of 1%. However, when the resist etch-back step is performed using a resist coating apparatus having the same coating characteristics as in the present embodiment, the dimension is reduced due to a large resist film thickness during electron beam writing. As shown in FIG. 29 (b), since the resist film thickness becomes large even in the resist etch-back step, after the resist etch-back step is completed,
As shown in (c), an absorber having a larger thickness is formed in a portion where the absorber becomes smaller due to the distribution of the resist film thickness than in a portion where the absorber becomes larger.

【0268】このようなマスクを実際の露光に用いる
と、吸収体の小さくなってしまった部分では、膜厚が厚
くなっているためにコントラストが上がり、吸収体の大
きくなってしまった部分では、膜厚が薄くなっているた
めにコントラストが下がる。このため、転写により得ら
れるパターンの寸法は、これらが相殺してパターン寸法
均一性が向上し、寸法精度が向上することが判明した。
即ち、本実施形態により、レジスト塗布膜厚分布に起因
して発生する吸収体寸法の分布により引き起こされる転
写パターンの寸法精度劣化を、救済することが可能とな
る。
When such a mask is used for actual exposure, the portion where the absorber has become smaller has a higher contrast because of the thicker film, and the portion where the absorber has become larger has a larger thickness. The contrast is reduced due to the thin film thickness. Therefore, it has been found that the dimensions of the pattern obtained by the transfer are offset by each other, thereby improving the pattern dimension uniformity and improving the dimensional accuracy.
That is, according to the present embodiment, it is possible to relieve the dimensional accuracy deterioration of the transfer pattern caused by the distribution of the absorber size generated due to the resist coating film thickness distribution.

【0269】なお、本実施形態においては、レジスト膜
109の代わりに回転塗布の可能な他の材質の膜、例え
ばSOG膜や回転塗布可能なITO膜等を使用しても構
わない。
In the present embodiment, a film of another material that can be spin-coated, such as an SOG film or an ITO film that can be spin-coated, may be used instead of the resist film 109.

【0270】以上の工程により製作されたマスクを用い
て、SOR光源にミラーと真空隔壁Be膜を備えたビー
ムラインを用いた中心波長0.8nmの露光光を用い
て、Siウェハ上に塗布されたレジストに転写を行った
ところ、線幅70nmのパターンを形成することができ
た。
Using the mask manufactured by the above-described process, the SOR light source was used to apply a light beam having a center wavelength of 0.8 nm using a beam line provided with a mirror and a vacuum partition Be film to the Si wafer. When the pattern was transferred to the resulting resist, a pattern having a line width of 70 nm could be formed.

【0271】(第13の実施形態)第12の実施形態で
は、平坦部に残留した余分なCuをレジストエッチバッ
ク法により除去したが、以下に示すような機構を備えた
装置を用いれば、ポリッシング法により除去することも
可能である。従来のポリッシング装置では、X線露光用
マスクの様な自立薄膜で構成される物体のポリッシング
を行うことは困難であるが、本実施形態では、マスクの
被研磨面側に流体を満たし、この流体の圧力を制御する
ことにより、これを可能としている。
(Thirteenth Embodiment) In the twelfth embodiment, excess Cu remaining on a flat portion is removed by a resist etch-back method. However, if an apparatus having the following mechanism is used, polishing is performed. It is also possible to remove by a method. With a conventional polishing apparatus, it is difficult to perform polishing of an object composed of a self-supporting thin film such as an X-ray exposure mask. However, in the present embodiment, the surface to be polished of the mask is filled with a fluid, This is made possible by controlling the pressure of

【0272】図30は、本実施形態に係わるポリッシン
グ装置を説明するための断面図である。通常のポリッシ
ング装置と同様に、回転可能な研磨定盤211上に樹脂
含浸不織布からなる研磨パッド212が取り付けられて
おり、研磨剤213が研磨剤タンク214より供給量制
御機構215を介して研磨剤供給配管216を通り研磨
パッド212の近傍にて吐出される機構が備わってい
る。
FIG. 30 is a sectional view for explaining a polishing apparatus according to this embodiment. A polishing pad 212 made of a resin-impregnated non-woven fabric is mounted on a rotatable polishing platen 211 in the same manner as a normal polishing apparatus, and an abrasive 213 is supplied from an abrasive tank 214 via an amount control mechanism 215 to the abrasive. There is provided a mechanism that discharges near the polishing pad 212 through the supply pipe 216.

【0273】リフロー工程を終了したマスク217は、
ゴム製のOリング218を介して台座219にクランプ
220により固定されている。台座219には圧力調整
流体221となる純水の流入管222及び流出管223
が接続されており、台座全体は回転可能な機構に接続さ
れている。流出管223には圧力計224が接続され、
流入管222,流出管223にはそれぞれ流量調整バル
ブからなる流量調整機構225a,bが接続されてお
り、圧力計224の出力に応じて流量を制御して、台座
部分の流体221の圧力が一定に調整される機構が備わ
っている。また、本流体は恒温装置226を介して循環
しており、ポリッシング中の被研磨面の温度を一定に保
つことができるので、温度に敏感なプロセスの安定化を
はかることができるようになっている。
The mask 217 after the completion of the reflow step is
It is fixed to a base 219 by a clamp 220 via a rubber O-ring 218. The pedestal 219 has an inflow pipe 222 and an outflow pipe 223 of pure water serving as a pressure adjusting fluid 221.
Are connected, and the entire pedestal is connected to a rotatable mechanism. A pressure gauge 224 is connected to the outflow pipe 223,
Flow control mechanisms 225a and 225b, each including a flow control valve, are connected to the inflow pipe 222 and the outflow pipe 223, respectively, and the flow rate is controlled in accordance with the output of the pressure gauge 224 so that the pressure of the fluid 221 in the pedestal portion is constant. There is a mechanism that can be adjusted. In addition, the fluid is circulated through the constant temperature device 226, and the temperature of the surface to be polished during polishing can be kept constant, so that a temperature-sensitive process can be stabilized. I have.

【0274】更に精度を向上させるために、台座219
にはマスクのX線透過性薄膜までの距離を監視するセン
サ227が取り付けられており、センサ227の出力は
制御計算機228に取り込まれ、必要に応じて、圧力計
224のみでなく、距離センサ227の出力を併用して
流体221の圧力を制御することができる。通常、X線
露光用マスク表面の変位は圧力に対して非常に敏感であ
ることに加え、ポリッシング工程ではポリッシング面へ
の圧力と共にポリッシング面の形状の一様性がプロセス
管理上重要であるため、このX線露光用マスク表面まで
の距離を監視するセンサの使用は、ポリッシング工程の
安定化に大きな役割を果たす。そして、圧力の管理に関
しても、流量調整バルブに加えて、シリンダによって構
成される体積変形可能な機構229を設け、2つの流量
調整バルブの間の流体の占める体積を制御することによ
り、より精密な圧力制御が可能な機構を備えている。
In order to further improve the accuracy, the pedestal 219
Is mounted with a sensor 227 for monitoring the distance to the X-ray transparent thin film of the mask. The output of the sensor 227 is taken into the control computer 228, and if necessary, not only the pressure gauge 224 but also the distance sensor 227 is provided. Can be used in combination to control the pressure of the fluid 221. In general, the displacement of the X-ray exposure mask surface is very sensitive to pressure, and in the polishing process, the uniformity of the shape of the polishing surface is important in the process control together with the pressure on the polishing surface. The use of a sensor for monitoring the distance to the X-ray exposure mask surface plays a large role in stabilizing the polishing process. For pressure management, in addition to the flow control valve, a volume deformable mechanism 229 constituted by a cylinder is provided to control the volume occupied by the fluid between the two flow control valves, thereby achieving more precise control. A mechanism capable of controlling pressure is provided.

【0275】具体的には、第12の実施形態において、
リフロー工程の終了した基板(図28(b))を図30
のポリッシング装置を用いて、流体圧力が300g/c
2となる条件でX線露光用マスク表面の高さが一定に
なるように制御しつつ、研磨定盤211及び台座219
をそれぞれ100rpmの回転速度で互いに反対方向に
回転させながら、研磨剤213を10ml/minの速
度で研磨パッド212に供給し、余分なCuの除去を行
った。研磨剤には、0.12mol/lのグリシン(C
2 5 2 N)水溶液と0.44mol/lの過酸化水
素水(H2 2)との混合溶液に、研磨粒子として平均
粒径30nmのシリカ粒子を5.3重量%で分散させ、
0.001mol/lのベンゾトリアゾール(C6 5
3 )を添加したものを使用した。
More specifically, in the twelfth embodiment,
The substrate after the reflow process (FIG. 28B) is
Fluid pressure is 300g / c
While controlling the height of the X-ray exposure mask surface to be constant under the condition of m 2 , the polishing platen 211 and the pedestal 219 are controlled.
The abrasive 213 was supplied to the polishing pad 212 at a rate of 10 ml / min while rotating each of them in a direction opposite to each other at a rotation speed of 100 rpm to remove excess Cu. For the abrasive, 0.12 mol / l of glycine (C
A mixed solution of 2 H 5 O 2 N) aqueous hydrogen peroxide aqueous solution and 0.44mol / l (H 2 O 2 ), were dispersed with silica particles having an average particle size 30nm with 5.3% by weight abrasive particles ,
0.001 mol / l of benzotriazole (C 6 H 5
N 3 ) was used.

【0276】以上の条件におけるCuの研磨速度は約9
0nm/minであり、十分な速度で処理が可能である
ことが判った。ポリッシング工程の終点検出は、処理時
間と共に、研磨定盤の駆動モータの電圧変化をモニタす
る方法を併用して行った。即ち、通常研磨定盤の駆動モ
ータの電圧は、ポリッシング開始直後に上昇してほぼ一
定の電圧になり、広い平坦部に残留したCuがほぼ除去
される時点から、再度上昇するので、この電圧上昇を検
知してポリッシング工程の終了処理を行うことにより、
再現性良く処理を行うことができる。ポリッシングの終
了した基板は、ポリッシング装置から取り外された後
に、純水で洗浄されて研磨剤が除去され、さらにオゾン
濃度0.001%の溶存オゾン水に3分間浸漬された後
に、フッ酸濃度5%の希フッ酸水溶液に90秒浸漬され
ることにより、表面に残留した有機物等が除去され、最
後に純水で洗浄されて一連の処理を終了する。
The polishing rate of Cu under the above conditions is about 9
It was 0 nm / min, and it was found that processing could be performed at a sufficient speed. The end point of the polishing step was detected by using a method of monitoring a change in the voltage of the drive motor of the polishing platen together with the processing time. In other words, the voltage of the drive motor of the normal polishing platen rises immediately after the start of polishing and becomes almost constant, and rises again from the time when Cu remaining on the wide flat portion is almost removed. Is detected and the polishing process is terminated.
Processing can be performed with good reproducibility. The substrate after polishing is removed from the polishing apparatus, washed with pure water to remove the abrasive, and further immersed in 0.001% dissolved ozone water for 3 minutes. By immersing in a 90% dilute hydrofluoric acid aqueous solution for 90 seconds, organic substances and the like remaining on the surface are removed, and finally, the substrate is washed with pure water to complete a series of processes.

【0277】なお、本実施形態においては、ポリッシン
グ装置がX線露光用マスクを処理可能な機構を有してい
ることが重要であり、研磨圧力や研磨定盤・台座の回転
数、終点検出の方法、研磨剤といったポリッシング条件
に関しては種々の他の方法を用いることも可能であり、
例えば研磨パッド上の研磨剤のpHや研磨パッドの温度
等をモニタして終点検出を行うことも可能である。研磨
剤に関しても、シリカ粒子に代えてアルミナ粒子,酸化
チタン粒子,酸化ジルコニウム粒子,酸化セリウム粒
子,炭化珪素粒子,ダイヤモンド粒子や、シリカ粒子を
含むこれらの粒子の混合物を用いることも可能である。
さらに、グリシン水溶液に代えて、他のアミノ酢酸やア
ミノ硫酸、或いはこれらの混合物を使用することも可能
であり、過酸化水素水に代えて硝酸,次亜塩素酸,オゾ
ン水,硝酸アンモニウム,塩化アンモニウム,クロム酸
等を用いることも可能である。また、ベンゾトリアゾー
ルの添加は必ずしも必要ではなく、添加する場合にも、
ポリッシングされる素材とキレート化合物や錯体化合物
を形成する材料であれば、ペンゾトリアゾールの誘導体
やチオ尿素,チオ尿素誘導体,ベンズイミダゾール,ト
リアゾール,エチレンジアミン,システィンやこれらを
含む混合物を用いることも可能である。
In this embodiment, it is important that the polishing apparatus has a mechanism capable of processing the X-ray exposure mask, and the polishing pressure, the rotation speed of the polishing platen / pedestal, and the end point detection Method, it is also possible to use various other methods for polishing conditions such as abrasives,
For example, the end point can be detected by monitoring the pH of the polishing agent on the polishing pad, the temperature of the polishing pad, and the like. As for the abrasive, it is also possible to use alumina particles, titanium oxide particles, zirconium oxide particles, cerium oxide particles, silicon carbide particles, diamond particles, or a mixture of these particles including silica particles instead of silica particles.
Further, other aminoacetic acid, aminosulfuric acid, or a mixture thereof can be used in place of the glycine aqueous solution, and nitric acid, hypochlorous acid, ozone water, ammonium nitrate, ammonium chloride can be used in place of the hydrogen peroxide solution. , Chromic acid or the like can also be used. In addition, the addition of benzotriazole is not always necessary, and when it is added,
It is also possible to use benzotriazole derivatives, thiourea, thiourea derivatives, benzimidazole, triazole, ethylenediamine, cysteine, and mixtures containing these, as long as the material forms a chelate compound or complex compound with the material to be polished. is there.

【0278】以上の工程により製作されたマスクを用い
て、SOR光源にミラーと真空隔壁Be膜を備えたビー
ムラインを用いた中心波長0.8nmの露光光を用い
て、Siウェハ上に塗布されたレジストに転写を行った
ところ、線幅70nmのパターンを形成することができ
た。
Using the mask manufactured by the above-described process, the SOR light source was used to apply a light beam having a center wavelength of 0.8 nm using a beam line provided with a mirror and a vacuum partition Be film, and then applied onto a Si wafer. When the pattern was transferred to the resulting resist, a pattern having a line width of 70 nm could be formed.

【0279】なお、デザインルールの微細化に伴い、所
望の吸収体アスペクト比が大きくなると、1回のリフロ
ー工程によってパターンの凹部を完全に埋め込むことが
困難になるが、その場合には、スパッタリングとリフロ
ーを複数回に分けて行うことにより埋め込みを行えばよ
い。具体的には、膜厚0.6μmのCu膜を一度に成膜
することに代えて、例えばRFスパッタリング装置を用
いてAr圧力3mTorrの条件で吸収体8となる膜厚0.
2μmのCu膜を成膜し、スパッタリングと同一真空中
で、550℃1分間の熱処理を施し、パターンの凹部に
凝集埋め込みを行う、という一連の工程を3回繰り返す
ことにより、埋め込みを行えばよい。また、この際に必
要であれば、各熱処理工程と次のスパッタリング工程の
間に、レジストエッチバック或いはポリッシングを行
い、比較的大きな面積を持つSiO 2 膜4パターン上の
不要なCuを予め除去しておくことも可能である。
[0279] As design rules become finer,
When the desired absorber aspect ratio increases, one reflow
Process can completely fill the recesses of the pattern
Difficult, but in that case sputtering and reflow
Can be embedded by dividing the
No. Specifically, a Cu film having a thickness of 0.6 μm is formed at a time.
Instead of using, for example, RF sputtering equipment
And the thickness of the absorber 8 under the condition of an Ar pressure of 3 mTorr.
Form a 2μm Cu film, and in the same vacuum as sputtering
And heat-treated at 550 ° C. for 1 minute to form recesses in the pattern.
A series of steps of performing cohesive embedding is repeated three times
Thus, the embedding may be performed. Also, in this case,
If necessary, perform each heat treatment step and the next sputtering step.
In the meantime, resist etch back or polishing
SiO with a relatively large area TwoOn membrane 4 pattern
Unnecessary Cu can be removed in advance.

【0280】以上の実施形態においては、Cuを吸収体
として用いたが、本発明はCuに限らずW,Ta,R
u,Re,Au,Os,Zn,Pb,Pt或いはこれら
を含む化合物等、他の吸収体材料を用いる場合にも適用
することが可能である。これらの材料には融点が高いた
め(リフロー工程の困難なものも含まれるが、リフロー
工程そのものは本発明に必須ではないので省略すること
も可能であり、その場合にも、レジストエッチバック工
程或いはポリッシング工程を行うことにより、所望のマ
スクを形成することが可能である。また、吸収体の成膜
方法に関しても、スパッタリングに限らず、単なる蒸着
や電解メッキ,無電解メッキ,熱CVD,プラズマCV
D等種々の方法を用いることが可能である。電解メッキ
を用いる場合には、反射防止膜兼エッチングストッパ1
03を導電性の物質、例えばCr,Ni等の金属薄膜や
ITO膜等に置き換えると好都合である。
In the above embodiments, Cu was used as the absorber, but the present invention is not limited to Cu, but is applicable to W, Ta, R
The present invention can be applied to a case where another absorber material such as u, Re, Au, Os, Zn, Pb, Pt or a compound containing these materials is used. These materials have a high melting point (including those for which the reflow step is difficult, but the reflow step itself is not essential to the present invention and can be omitted. In that case, the resist etch-back step or the By performing the polishing step, a desired mask can be formed, and the method of forming the absorber is not limited to sputtering, but may be mere vapor deposition, electrolytic plating, electroless plating, thermal CVD, or plasma CV.
Various methods such as D can be used. When using electrolytic plating, an anti-reflection film and an etching stopper 1
It is convenient to replace 03 with a conductive substance, for example, a metal thin film of Cr, Ni or the like, an ITO film, or the like.

【0281】本実施形態により、X線露光用マスクの吸
収体の微細加工を、吸収体を直接RIEにより高アスベ
クト比加工する工程を含まずに行うことが可能となるた
め、RIEの困難な材料を吸収体材料として容易に用い
ることが可能となり、またRIEの際に発生する残さに
起因するパターン精度劣化を防ぐことが可能となる。
According to the present embodiment, fine processing of the absorber of the mask for X-ray exposure can be performed without including the step of directly processing the absorber by RIE, so that a material which is difficult to be subjected to RIE is obtained. Can easily be used as an absorber material, and it is possible to prevent pattern accuracy deterioration due to residues generated during RIE.

【0282】(第14の実施形態)ここでは、等倍X線
露光用マスクの作成方法について具体的に説明する。図
31及び図32は、本発明の第14の実施形態で用いた
X線露光用マスクの製造工程を示す断面図である。
(Fourteenth Embodiment) Here, a method for forming a 1: 1 X-ray exposure mask will be specifically described. FIGS. 31 and 32 are cross-sectional views showing the steps of manufacturing the X-ray exposure mask used in the fourteenth embodiment of the present invention.

【0283】まず、はじめに型板300の製造工程につ
いて説明する。図31(a)に示すように、洗浄された
厚さ525μmの4インチSi(100)ウェハ301
に減圧CVD法を用いて、基板温度1025℃,圧力3
0Torrの条件で、10%水素希釈のシランガス150sc
cm、10%水素希釈のアセチレンガス65sccm、100
%塩化水素ガス150sccmをキャリアガスである水素1
0SLMと共に反応管内に導入し、X線透過性薄膜30
2となる膜厚2μmSiCを成膜した。
First, the steps of manufacturing the template 300 will be described. As shown in FIG. 31A, a 525 μm-thick 4-inch Si (100) wafer 301 is cleaned.
Substrate pressure 1025 ° C., pressure 3
Under the condition of 0 Torr, silane gas diluted with 10% hydrogen 150 sc
acetylene gas diluted with 10% hydrogen 65 sccm, 100
% Hydrogen chloride gas 150 sccm and hydrogen 1 as carrier gas
The X-ray transparent thin film 30 is introduced into the reaction tube together with the 0 SLM.
A 2 μm-thick SiC film having a thickness of 2 was formed.

【0284】次いで、図31(b)に示すように、この
基板の表面にRFスパッタリング装置を用いて、Ar圧
力1mTorrの条件で、反射防止膜兼エッチングストッパ
303となる膜厚98nmのアルミナ膜を成膜した。さ
らにその上に、TEOSを主原料とするCVD法によ
り、膜厚800nmのパターニング層304となるSi
2 膜を形成し、成膜後にアニール処理を施すことによ
りSiO2 膜の応力をほぼ0MPaに調整した。
Next, as shown in FIG. 31B, a 98 nm-thick alumina film serving as an anti-reflection film and an etching stopper 303 was formed on the surface of this substrate by using an RF sputtering apparatus under the condition of an Ar pressure of 1 mTorr. A film was formed. Further thereon, an 800 nm-thick patterning layer 304 is formed by CVD using TEOS as a main material.
The stress of the SiO 2 film was adjusted to almost 0 MPa by forming an O 2 film and performing an annealing treatment after the film formation.

【0285】次いで、RIE装置を用いて、アルミニウ
ムをエッチングマスクとして、圧力10mTorr、RFパ
ワー200Wの条件でCF4 ガス25sccm、O2 ガス4
0sccmを供給し、図31(c)に示すように、型板裏面
の中心部の半径70mmの領域、転写基板裏面のSiC
膜302を除去し、Siウェハ301をエッチングする
際のマスクとなる開口領域305を形成した。
Next, using a RIE apparatus, aluminum was used as an etching mask under the conditions of a pressure of 10 mTorr and an RF power of 200 W, a CF 4 gas of 25 sccm and an O 2 gas of 4
0 sccm, as shown in FIG. 31 (c), a 70 mm radius area at the center of the back of the template, and SiC on the back of the transfer substrate.
The film 302 was removed, and an opening region 305 serving as a mask for etching the Si wafer 301 was formed.

【0286】次いで、図31(d)に示すように、この
基板上に市販の電子ビーム用ポジ型レジストZEP52
0(粘度12cps)を回転数2000rpm,50秒
の条件で回転塗布し、ホットプレートを用いて、175
℃,2分間のベーク処理を行い、膜厚300nmの感光
膜306を形成した。そして、加速電圧75kVの電子
ビーム描画装置を用いてパターン描画を行った。所望の
描画精度を得るために、描画は4回の重ね書きによりパ
ターンを形成する多重描画を行い、基準照射量を96μ
C/cm2 として、照射量補正により近接効果補正を行
った。描画後、現像処理として市販の現像液ZEP−R
Dを用いて液温18℃,1分間の条件で現像を行い、引
き続きMIBKで1分間のリンスを行い現像液を除去し
た。
Then, as shown in FIG. 31D, a commercially available positive resist for electron beam ZEP52 is formed on this substrate.
0 (viscosity: 12 cps) is spin-coated under the conditions of a rotation speed of 2,000 rpm and 50 seconds, and 175 using a hot plate.
A baking treatment was performed at 2 ° C. for 2 minutes to form a photosensitive film 306 having a thickness of 300 nm. Then, pattern writing was performed using an electron beam writing apparatus with an acceleration voltage of 75 kV. In order to obtain a desired writing accuracy, writing is performed by multiple writing in which a pattern is formed by overwriting four times, and the reference irradiation amount is set to 96 μm.
As C / cm 2 , proximity effect correction was performed by irradiation amount correction. After drawing, a commercially available developer ZEP-R is used as a developing process.
Using D, development was carried out at a liquid temperature of 18 ° C. for 1 minute, followed by rinsing with MIBK for 1 minute to remove the developer.

【0287】次いで、図31(e)に示すように、感光
膜306のパターン(レジストパターン)をマスクに、
CHF3 及びCOガスを用いて反応性イオンエッチング
によりSiO2 膜304の加工を行った。そして、残留
したレジストは、酸素プラズマ中で灰化処理して除去し
た後、硫酸と過酸化水素水の混合液で洗浄した。これに
より、型板300を形成した。
Next, as shown in FIG. 31E, using the pattern (resist pattern) of the photosensitive film 306 as a mask,
The SiO 2 film 304 was processed by reactive ion etching using CHF 3 and CO gas. The remaining resist was removed by ashing in oxygen plasma, and then washed with a mixed solution of sulfuric acid and hydrogen peroxide. Thus, the template 300 was formed.

【0288】次に、型板300を押し付けられる(転
写)基板400の製造工程について説明する。まず、図
32(a)に示すように、型板製作工程と同様に、洗浄
された厚さ525μmの4インチSi(100)ウェハ
401の片側表面に減圧CVDを用いて、基板温度10
25℃,圧力30Torrの条件で、10%水素希釈のシラ
ンガス150sccm、10%水素希釈のアセチレンガス6
5sccm、100%塩化水素ガス50sccmをキャリアガス
である水素10SLMと共に反応管内に導入し、X線透
過性薄膜402となる膜厚2μmのSiCを成膜した。
続いて、RFスパッタリング装置を用いてAr圧力3m
Torrの条件の下で、X線吸収体403となる膜厚0.6
μmの銅(Cu)を成膜し、研磨により表面平坦化を行
った。
Next, the manufacturing process of the (transfer) substrate 400 to which the template 300 is pressed will be described. First, as shown in FIG. 32 (a), in the same manner as in the template manufacturing process, one side surface of a cleaned 525 μm-thick 4-inch Si (100) wafer 401 is heated at a substrate temperature of 10 by using reduced pressure CVD.
Under conditions of 25 ° C. and a pressure of 30 Torr, silane gas diluted with 10% hydrogen 150 sccm, acetylene gas diluted with 10% hydrogen 6
5 sccm and 50 sccm of 100% hydrogen chloride gas were introduced into the reaction tube together with 10 SLM of hydrogen as a carrier gas to form a 2 μm-thick SiC film to be the X-ray transparent thin film 402.
Subsequently, the Ar pressure was set to 3 m using an RF sputtering apparatus.
Under the condition of Torr, the film thickness of the X-ray absorber 403 becomes 0.6
A μm layer of copper (Cu) was formed, and the surface was flattened by polishing.

【0289】次いで、図32(b)に示すように、型板
300及び転写基板400の両基板を密着させ、プレス
装置により5〜30MPa程度の圧力を掛け、同時に赤
外線照射により550℃,1分間の熱処理を行った。
Next, as shown in FIG. 32 (b), both the template 300 and the transfer substrate 400 are brought into close contact with each other, a pressure of about 5 to 30 MPa is applied by a press device, and simultaneously, infrared irradiation is performed at 550 ° C. for 1 minute. Was heat-treated.

【0290】この処理により、図32(c)に示すよう
に、X線吸収体としてのCu金属層403はSiO2
304のパターン凹部に押し込まれ、さらにCu金属部
分に熱が加えられることで、パターン凹部にCu金属が
流れ込み凝集埋め込みが行われた。
By this process, as shown in FIG. 32C, the Cu metal layer 403 as the X-ray absorber is pushed into the pattern concave portions of the SiO 2 film 304, and heat is applied to the Cu metal portion. Then, Cu metal flowed into the pattern concave portions to perform coagulation embedding.

【0291】次いで、図32(d)に示すように、型板
300のSiCの除去された開口領域305上のSi部
分と転写基板400のSiウェハ401部分を弗酸と硝
酸の1:1混合液によりエッチング除去した。最後に、
紫外線硬化型エポキシ樹脂接着剤を用いて外径125m
m、内径72mm、厚さ6.2mmのガラスリングをフ
レーム500として接合した。
Next, as shown in FIG. 32D, the Si portion on the opening region 305 of the template 300 from which SiC has been removed and the Si wafer 401 portion of the transfer substrate 400 are mixed with 1: 1 hydrofluoric acid and nitric acid. It was removed by etching with a liquid. Finally,
125m outside diameter using UV curable epoxy resin adhesive
A glass ring having a diameter of 72 m, an inner diameter of 72 mm, and a thickness of 6.2 mm was joined as a frame 500.

【0292】以上の方法により、所望のX線露光用マス
クを作成することができるが、本方法により作成したマ
スクには以下のような利点があることが判明した。まず
第1に、従来のナノインプリント・リソグラフィの手法
では、型板を剥がすことを想定していたため、本手法の
プレスする際の高温加熱は、従来のナノインプリントで
は両板の密着性を高め剥離することが困難となる。これ
に対し本実施形態では、型板300のパターニング層3
04をそのまま残しX線露光用マスクの構成材料として
活用し、型板300を剥離しないため、プレスする際の
高温加熱が有効になることである。
Although a desired mask for X-ray exposure can be prepared by the above method, it has been found that the mask formed by the present method has the following advantages. First, the conventional nanoimprint lithography method assumed that the template was peeled off. Therefore, high-temperature heating during pressing in this method requires the conventional nanoimprint to increase the adhesion between the two plates and peel off. Becomes difficult. On the other hand, in the present embodiment, the patterning layer 3 of the template 300 is
04 is left as it is and is used as a constituent material of the mask for X-ray exposure, and since the template 300 is not peeled off, high-temperature heating at the time of pressing is effective.

【0293】そして、室温に比べ、高温において金属は
軟化するために、このときプレス装置により加圧しパタ
ーン凹部に金属を押し込むために必要とされる力は小さ
くすることができる。従来法では、高圧力でのプレスで
は型板及び転写基板に破損乃至は変形が起きる危険性が
あるため、室温で柔らかい金属材料に限られていたもの
が、本手法では加熱温度を適切な温度に設定することで
様々な金属材料に適応することが可能である。さらに、
加熱処理によりアスペクト比の高いパターン凹部へ金属
が流れ込み易くなり、凝集埋め込みが行い易くなるとい
う利点も併せ持っている。
Since the metal is softened at a higher temperature than at room temperature, the force required to press the metal with a pressing device at this time and to push the metal into the pattern concave portion can be reduced. In the conventional method, there is a risk that the mold plate and the transfer substrate may be damaged or deformed by pressing at a high pressure, and therefore, the method is limited to soft metal materials at room temperature. By setting to, it is possible to adapt to various metal materials. further,
The heat treatment also has the advantage that the metal can easily flow into the pattern recesses having a high aspect ratio, and the cohesion and embedding can be easily performed.

【0294】また、吸収体の内部応力についても、成膜
後に加熱処理を行うことにより、凝集埋め込みを行う、
いわゆるリフローを引き起こさせているので、成膜時の
内部応力は一旦開放されるため、このリフロー工程のみ
に依存する。即ち、面内均一性を含めたリフロー工程の
温度制御さえ十分に管理されていれば、所望の内部応力
の吸収体を形成することが可能である。従って、従来法
の反応性イオンエッチングにより直接加工した吸収体パ
ターン形成において吸収体成膜時に必要とされる高精度
な条件に比べ、その応力制御は遙かに容易で、1MPa
程度の応力分布を得ることが可能になるという利点を持
つ。
[0294] Regarding the internal stress of the absorber, coagulation embedding is performed by performing a heat treatment after film formation.
Since the so-called reflow is caused, the internal stress at the time of film formation is once released, and therefore, it depends only on this reflow step. That is, as long as the temperature control in the reflow process including the in-plane uniformity is sufficiently controlled, it is possible to form an absorber having a desired internal stress. Therefore, the stress control is much easier and the stress control is 1 MPa compared with the high-precision conditions required for the absorber film formation in the absorber pattern formation directly processed by the reactive ion etching of the conventional method.
There is an advantage that it is possible to obtain a degree of stress distribution.

【0295】以上の工程により製作されたマスクを用い
て、シンクロトロン光源にミラーと真空隔壁(Be膜)
を備えたビームラインを用い、中心波長0.8nmの露
光光を用いて、Siウェハ上に塗布されたレジストに転
写を行ったところ、線幅70nmのパターンを高精度に
形成することができた。
Using the mask manufactured by the above process, a mirror and a vacuum partition (Be film) are used as a synchrotron light source.
Was transferred to the resist applied on the Si wafer using the exposure light having the center wavelength of 0.8 nm using a beam line provided with a laser beam, and a pattern with a line width of 70 nm could be formed with high accuracy. .

【0296】以上の実施形態においては、型板300と
転写基板400を密着させ、X線吸収体のパターンを形
成した後に型板300にガラスリングのフレーム500
を接着したが、型板300と転写基板400を密着させ
る前に、型板300にフレーム500を接着してもよ
い。この場合の製造工程を図33に示す。
In the above embodiment, the template 300 and the transfer substrate 400 are brought into close contact with each other, and after forming an X-ray absorber pattern, the glass plate 500
However, the frame 500 may be bonded to the template 300 before the template 300 and the transfer substrate 400 are brought into close contact with each other. FIG. 33 shows a manufacturing process in this case.

【0297】まず、Siウェハ301にX線透過性薄膜
302となるSiCを成膜し、さらに反射防止膜兼エッ
チングストッパ303となるアルミナ膜、パターニング
層304となるSiO2 膜を成膜し、型板裏面のSiC
膜302を一部除去して開口領域305を形成するまで
は、前記図31(a)〜(c)に示した工程と同じであ
る。
First, SiC to be the X-ray transparent thin film 302 is formed on a Si wafer 301, and an alumina film to be an anti-reflection film and an etching stopper 303 and an SiO 2 film to be a patterning layer 304 are formed. SiC on the back of the plate
Until the film 302 is partially removed and the opening region 305 is formed, the process is the same as the process shown in FIGS. 31A to 31C.

【0298】次いで、図33(a)に示すように、型板
300の裏面に、紫外線硬化型エポキシ樹脂を用いて、
外径125mm,内径72mm,厚さ6.2mmのガラ
スリングのフレーム500を接着した。次いで、図33
(b)に示すように、SiCの除去された開口領域30
5に露出したSi部分を、弗酸と硝酸の1対1混合液を
用いて除去し、これによりX線透過薄膜(メンプレン)
を作成した。
Next, as shown in FIG. 33A, an ultraviolet-curable epoxy resin is
A glass ring frame 500 having an outer diameter of 125 mm, an inner diameter of 72 mm, and a thickness of 6.2 mm was bonded. Then, FIG.
As shown in (b), the opening region 30 from which SiC has been removed.
5 is removed using a one-to-one mixed solution of hydrofluoric acid and nitric acid, thereby removing the X-ray transparent thin film (membrane).
It was created.

【0299】次いで、図33(c)に示すように、メン
ブレン裏面に、ポリイミド樹脂形成用溶液をスピン塗布
し薄膜309を形成し、その後、300℃で加熱脱水し
て硬化させた。次いで、図33(d)に示すように、S
iO2 膜304上にレジスト306のパターンを形成
し、これをマスクにSiO2 膜304を選択エッチング
し、さらに残ったレジスト306を剥離した。
Next, as shown in FIG. 33 (c), a polyimide resin forming solution was spin-coated on the back surface of the membrane to form a thin film 309, which was then heated and dehydrated at 300 ° C. to be cured. Next, as shown in FIG.
A pattern of a resist 306 was formed on the iO 2 film 304, the SiO 2 film 304 was selectively etched using the pattern as a mask, and the remaining resist 306 was peeled off.

【0300】これ以降は、前記図32(a)〜(e)と
同様に、型板300及び転写基板400の両基板を密着
させ、プレス装置により5〜30MPa程度の圧力を掛
け、同時に赤外線照射により400℃,2分の熱処理を
行った。最後に、ポリイミド樹脂309をヒドラジンに
より、また転写基板400のSiウェハ401を弗酸と
硝酸の1:1混合液によりエッチング除去することで、
所望のX線露光用マスクが得られた。
Thereafter, as in FIGS. 32 (a) to 32 (e), both the template 300 and the transfer substrate 400 are brought into close contact with each other, a pressure of about 5 to 30 MPa is applied by a pressing device, and simultaneously infrared irradiation is performed. At 400 ° C. for 2 minutes. Finally, the polyimide resin 309 is removed by etching with hydrazine, and the Si wafer 401 of the transfer substrate 400 is removed by etching with a 1: 1 mixed solution of hydrofluoric acid and nitric acid.
The desired X-ray exposure mask was obtained.

【0301】以上の実施形態においては、CuをX線吸
収体403として用いたが、本発明はCuに限らず、C
o,Ni,Zn,Ga,Ge,Ta,Re,Os,A
u,Pt,Pb、或いはこれらを含む化合物(特に真鍮
CuZn)等、他の金属材料を用いる場合にも適用する
ことが可能である。これらの物質には、融点が高いため
加熱によるリフローが困難なものも含まれるが、リフロ
ー工程や加熱工程そのものは必須ではないので省略する
ことも可能である。また、真鍮等の柔らかい金属の場
合、加熱工程を比較的低温で行っても、プレス器により
加圧する力は小さくて済み、パターニング層の凹部への
埋め込みは行え、所望のパターンやマスク形成すること
が可能である。
In the above embodiment, Cu is used as the X-ray absorber 403, but the present invention is not limited to Cu,
o, Ni, Zn, Ga, Ge, Ta, Re, Os, A
The present invention can be applied to a case where another metal material such as u, Pt, Pb, or a compound containing these materials (particularly, brass CuZn) is used. Some of these substances have a high melting point and are difficult to reflow by heating, but the reflow step and the heating step are not essential and can be omitted. Also, in the case of a soft metal such as brass, even if the heating step is performed at a relatively low temperature, the pressing force by the press is small, and the patterning layer can be embedded in the concave portion, and a desired pattern or mask can be formed. Is possible.

【0302】また、本実施例ではSiO2 をパターニン
グ層304として用いたが、本発明はSiO2 に限ら
ず、Si3 4 ,SiC,ダイヤモンド,Si、TiO
2 ,Al2 3 ,ZrO2 ,SiON,SiOF,Si
OB,BN,TiN、或いはこれらを含む化合物等、他
の材料を用いる場合にも適用することが可能である。パ
ターニング層の成膜方法に関しても、CVD法に限ら
ず、単なる蒸着やスパッタリング等、種々の方法を用い
ることが可能である。
In this embodiment, SiO 2 is used as the patterning layer 304. However, the present invention is not limited to SiO 2 , but includes Si 3 N 4 , SiC, diamond, Si, and TiO 2.
2 , Al 2 O 3 , ZrO 2 , SiON, SiOF, Si
The present invention can be applied to a case where another material such as OB, BN, TiN, or a compound containing these is used. The method of forming the patterning layer is not limited to the CVD method, and various methods such as simple vapor deposition and sputtering can be used.

【0303】また、メンブレン裏面の薄膜形成及び硬化
に、ここでは熱硬化性樹脂であるポリイミド樹脂を用い
たが、その他の熱硬化化性樹脂材料やポリ酢酸ビニル,
ポリビニルアルコール,ポリビニルホルマール,ポリビ
ニルアセトアセタール,ポリビニルブチラール等の溶剤
可溶性の樹脂を注入し、硬化させ、水或いはアルコール
で溶解してもよい。その他、ポリイミド樹脂を注入、硬
化させる方法の代わりに、X線露光用マスクのような自
立薄膜で構成される物体用のポリッシング装置の場合と
同様に、メンブレン裏面に流体を満たし、この流体の圧
力を制御する方法を用いることも可能である。
[0303] Although a polyimide resin, which is a thermosetting resin, was used here for forming and curing the thin film on the back surface of the membrane, other thermosetting resin materials, polyvinyl acetate, and the like were used.
A solvent-soluble resin such as polyvinyl alcohol, polyvinyl formal, polyvinyl acetoacetal, and polyvinyl butyral may be injected, cured, and dissolved with water or alcohol. In addition, instead of a method of injecting and curing a polyimide resin, as in the case of a polishing apparatus for an object composed of a free-standing thin film such as an X-ray exposure mask, the back surface of the membrane is filled with a fluid, and the pressure of the fluid is increased. Can be used.

【0304】金属の成膜方法に関しても、スパッタリン
グに限らず、単なる蒸着や電解メッキ、無電解メッキ、
熱CVD,プラズマCVD等、種々の方法を用いること
が可能である。電解メッキを用いる場合には、反射防止
膜兼エッチングストッパ303を導電性の物質、例えば
Cr,Ni等の金属薄膜やITO膜等に置き換えると好
都合である。また、ここでは加熱工程においては赤外線
加熱により行ったが、その他のホットプレート等の加熱
方法においても可能である。
The method of forming a metal film is not limited to sputtering, but may be mere vapor deposition, electrolytic plating, electroless plating, or the like.
Various methods such as thermal CVD and plasma CVD can be used. When electrolytic plating is used, it is convenient to replace the anti-reflection film / etching stopper 303 with a conductive material, for example, a metal thin film of Cr, Ni or the like, an ITO film, or the like. Although the heating step is performed by infrared heating here, other heating methods such as a hot plate can be used.

【0305】また、実施形態ではパターニング層304
として用いたSiO2 はX線透過性の高い物質であるた
め、パターニング層をそのまま残しX線露光用マスクと
して用いることができたが、各種露光マスクにおいて、
このパターニング層が不要である場合、パターニング層
を吸収体パターン形成後にエッチングにより除去する工
程を加えてもよい。また、ここで転写基板400のX線
透過性薄膜402もX線露光用マスクにおいて必要では
ないので、転写基板400のSiウェハ401のエッチ
ング除去後に除去する工程を加えてもよい。
In the embodiment, the patterning layer 304
Since SiO 2 used as a material having a high X-ray transmittance, the patterning layer was left as it was and could be used as an X-ray exposure mask.
If this patterning layer is unnecessary, a step of removing the patterning layer by etching after forming the absorber pattern may be added. Also, since the X-ray transparent thin film 402 of the transfer substrate 400 is not necessary in the X-ray exposure mask, a step of removing the Si wafer 401 of the transfer substrate 400 after the etching may be added.

【0306】本実施形態では、メンブレン膜上に吸収体
金属パターンを形成する手法について説明したが、本手
法では加熱温度を適切な温度に設定することで様々な金
属材料に適応でき、またアスペクト比の高い金属パター
ンの形成が容易であるため、X線露光用マスクの作成に
限らず、各種リソグラフィやゾーンプレート用の露光マ
スクにおいても本手法を適用することが可能である。特
に、メンブレン上の微細パターン形成が必要とされる各
種露光マスクの作成においては好適な手法である。ま
た、各種露光マスクにおいて、転写露光装置上で露光マ
スクと被加工基板との間に対するアライメント用のマー
クとして、ゾーンプレートを用いる場合においても、ア
ライメント用ゾーンプレート領域を、予め透過膜にパタ
ーン形成する際に露光パターン領域と一括して作成で
き、マスク製作のための工程数及び費用を低減できると
いう利点を持つ。
In this embodiment, the method of forming the absorber metal pattern on the membrane film has been described. However, in this method, it is possible to adapt to various metal materials by setting the heating temperature to an appropriate temperature, and to adjust the aspect ratio. Since it is easy to form a metal pattern having a high density, the method can be applied not only to the production of an X-ray exposure mask, but also to various lithography and exposure masks for a zone plate. In particular, this is a suitable method for producing various exposure masks that require formation of a fine pattern on a membrane. Further, in various exposure masks, even when a zone plate is used as an alignment mark between the exposure mask and the substrate to be processed on the transfer exposure apparatus, the zone plate region for alignment is preliminarily formed on the transmission film. In this case, it is possible to collectively form the exposure pattern area and to reduce the number of steps and cost for manufacturing a mask.

【0307】(第15の実施形態)第14の実施形態で
は、メンブレン膜上にX線吸収体としてCu、透過膜と
してSiO2 を用いたX線露光用マスクの作成方法につ
いて説明した。また、それより前の実施形態では、シン
クロトロン放射光として、露光光波長域(光強度として
該X線露光用マスクに入射する最大光強度の波長におけ
る光強度の1/10以上の強度を持つ波長域を露光波長
域とする)を0.65nmから1.02nmの間に有す
る露光光源を用いたX線露光で、このCu−SiO2
線露光用マスクにおいて、SiO2 透過膜によりCu吸
収体の露光光波長域の波長に対する位相シフト量の変化
が小さくなり、位相シフト効果による転写パターンの解
像度の向上がはかれることを既に説明している。
(Fifteenth Embodiment) In the fourteenth embodiment, a method of forming an X-ray exposure mask using Cu as an X-ray absorber and SiO 2 as a transmission film on a membrane film has been described. In the embodiment before that, the synchrotron radiation light has an exposure light wavelength range (light intensity of 1/10 or more of the light intensity at the wavelength of the maximum light intensity incident on the X-ray exposure mask). in X-ray exposure using an exposure light source having a the wavelength region and exposure wavelength region) to between 0.65nm of 1.02 nm, the Cu-SiO 2 X
It has already been described that in a line exposure mask, a change in the amount of phase shift with respect to the wavelength of the exposure light wavelength region of the Cu absorber is reduced by the SiO 2 transmission film, and the resolution of the transfer pattern is improved by the phase shift effect. .

【0308】本実施形態では、透過膜をSiONとした
ときにも、Cu吸収体の露光波長域の波長に対する位相
シフト量の変化が小さくなり、位相シフト効果による転
写パターンの解像度の向上がはかれ、またCuの透過膜
への拡散が抑制され、より高解像度のパターン転写が可
能になることが示されたので以下に説明する。また、X
線吸収体材料としてCuZn合金を用いたとき、位相特
性が更に改善され、第14の実施形態で示した製造方法
への適用が容易であることが示されたので、これらの発
明についても以下に同様に説明する。
In the present embodiment, even when the transmission film is made of SiON, the change in the phase shift amount with respect to the wavelength in the exposure wavelength region of the Cu absorber is small, and the resolution of the transfer pattern is improved by the phase shift effect. In addition, it has been shown that the diffusion of Cu into the permeable film is suppressed, and pattern transfer with higher resolution can be performed. Also, X
When a CuZn alloy was used as the line absorber material, the phase characteristics were further improved, and it was shown that application to the manufacturing method described in the fourteenth embodiment was easy, so these inventions are also described below. The description will be made similarly.

【0309】X線吸収体の露光光の透過特性に対し、高
い像コントラストを生むためには、メンブレン膜上の透
過膜材料としては、露光光に対して高い透過特性を持つ
ことが望まれる。図34はSiON膜及びSiO2 膜の
何れも膜厚1μmにおける波長0.5〜1.0μmのX
線に対する透過特性を示す。SiON膜の透過特性はS
iO2 膜とほぼ同じ特性であり、波長0.5〜1.0μ
mのX線に対して約60から80%の高い透過率を持
ち、波長0.5〜1.0μmのX線を用いたX線露光に
おける透過膜材料として好適な材料であることが判る。
In order to produce a high image contrast with respect to the transmission characteristics of the exposure light of the X-ray absorber, it is desired that the transmission film material on the membrane film has a high transmission characteristic for the exposure light. FIG. 34 shows that X-rays having a wavelength of 0.5 to 1.0 μm at a thickness of 1 μm for both the SiON film and SiO 2 film
4 shows transmission characteristics for a line. The transmission characteristic of the SiON film is S
It has almost the same characteristics as the iO 2 film,
It has a high transmittance of about 60 to 80% with respect to X-rays of m and is a material suitable as a transmission film material in X-ray exposure using X-rays having a wavelength of 0.5 to 1.0 μm.

【0310】次に、図35(a)(b)に、2つのX線
露光用マスクにおける位相シフト量及び露光光強度の波
長依存性を示す。図35(a)は、SiCメンブレン膜
(膜厚2μm)上にCu吸収体パターンのみが形成され
たX線露光用マスクにおいて、SiCメンブレンの透過
後と、SiCメンブレン−Cu吸収体(それぞれの膜厚
は2μm,0.61μm)透過後と、のそれぞれの露光
光強度及び位相シフト量の特性である。図35(b)
は、SiCメンブレン膜(膜厚2μm)上にCu吸収体
パターン及びSiON透過膜パターンの形成されたX線
露光用マスクにおいて、SiCメンブレン及びSiON
透過膜(それぞれ膜厚は2μm,0.90μm)の透過
後と、SiCメンブレン−Cu吸収体(それぞれの膜厚
は2μm,0.90μm)の透過後と、のそれぞれの露
光光強度及び位相シフト量の特性である。
Next, FIGS. 35 (a) and 35 (b) show the wavelength dependence of the phase shift amount and the exposure light intensity in the two X-ray exposure masks. FIG. 35A shows an X-ray exposure mask in which only a Cu absorber pattern is formed on a SiC membrane film (thickness: 2 μm), after passing through the SiC membrane, and in the SiC membrane-Cu absorber (each film). (Thicknesses of 2 μm and 0.61 μm) are the characteristics of the exposure light intensity and the phase shift amount after transmission. FIG. 35 (b)
Is an X-ray exposure mask in which a Cu absorber pattern and a SiON transmission film pattern are formed on a SiC membrane film (2 μm thick).
Exposure light intensity and phase shift after transmission through a transmission film (2 μm and 0.90 μm, respectively) and after transmission through a SiC membrane-Cu absorber (2 μm and 0.90 μm, respectively) Is a property of quantity.

【0311】ここで用いているX線露光ビームは、シン
クロトロン放射光で、リング蓄積電子エネルギー600
MeV、偏向磁場3T、最大蓄積電流500mA、最大
露光面積30mm角、最大露光強度50mW/cm2
ビーム平行度2mrad以下である。放射光の取り出し
窓としては平均膜厚25μmのベリリウム(Be)窓、
平均膜厚1.5μmの窒化珪素(Si3 4 )窓、平均
膜厚1.0μmのダイヤモンド窓を、集光及び揺動ミラ
ーには斜入射型の白金(Pt)ミラーを用いたときの強
度スペクトルであり、X線露光用マスクに入射する直前
の露光波長域(光強度として該X線露光用マスクに入射
する最大光強度の波長における光強度の1/10以上の
強度を持つ波長域を露光波長域とする)は0.65〜
1.02nmの放射光である。
The X-ray exposure beam used here is synchrotron radiation and has a ring accumulated electron energy of 600.
MeV, deflection magnetic field 3T, maximum accumulation current 500 mA, maximum exposure area 30 mm square, maximum exposure intensity 50 mW / cm 2 ,
The beam parallelism is 2 mrad or less. A beryllium (Be) window having an average film thickness of 25 μm is used as a window for extracting emitted light.
A silicon nitride (Si 3 N 4 ) window having an average film thickness of 1.5 μm, a diamond window having an average film thickness of 1.0 μm, and an oblique incidence type platinum (Pt) mirror used as a condensing and oscillating mirror. An intensity spectrum, which is an exposure wavelength range immediately before the light enters the X-ray exposure mask (a wavelength range having a light intensity of 1/10 or more of the light intensity at the wavelength of the maximum light intensity incident on the X-ray exposure mask) Is the exposure wavelength range) is 0.65 to
1.02 nm emitted light.

【0312】ここで、吸収体部分と吸収体以外の部分を
透過後のそれぞれの露光光の位相シフト量差の露光波長
域における量大値と最小値を足して、その値を2で割っ
た値がπとなるように吸収体膜厚を設定した(所謂、π
位相シフト型マスクである)。このときCu吸収体の膜
厚は612.4nmで、そのとき最大及び最小位相シフ
ト量差は、それぞれ0.875π,1.125πに相当
し、このとき位相シフト量は±12.5%以内に制御さ
れる。一方、Cu−SiON吸収体の膜厚は、903.
5nmで、そのとき最大及び最小位相シフト量差は、そ
れぞれ0.910π,1.09πに相当し、このとき位
相シフト量は±9.0%以内に制御され、Cuのみの場
合に比べ位相シフト量が露光波長域全域にわたり一定と
なる(図36参照)。
Here, the maximum value and the minimum value in the exposure wavelength range of the phase shift difference between the respective exposure lights after transmission through the absorber portion and the portion other than the absorber were added, and the value was divided by two. The absorber thickness was set so that the value was π (so-called π
A phase shift mask). At this time, the thickness of the Cu absorber is 612.4 nm, and the difference between the maximum and minimum phase shift amounts corresponds to 0.875π and 1.125π, respectively, and the phase shift amount is within ± 12.5%. Controlled. On the other hand, the film thickness of the Cu—SiON absorber is 903.
At 5 nm, the difference between the maximum and minimum phase shift amounts then corresponds to 0.910π and 1.09π, respectively. At this time, the phase shift amount is controlled to within ± 9.0%. The amount is constant over the entire exposure wavelength range (see FIG. 36).

【0313】また、吸収体部分を透過した露光光の高強
度領域として、その最大光強度の1/2以上の強度を持
つ波長域と定めたときにも、吸収体部分と吸収体以外の
部分を透過した露光光のそれぞれの位相シフト量差のこ
の波長域における最大値と最小値は、Cu吸収体の場合
は0.90π,1.01π、Cu−SiON吸収体の場
合は0.96π,101πとなり、Cu−SiON吸収
体を用いたX線露光用マスクでは、その露光波長域全域
にわたり位相シフト量を制御できることが示された。位
相シフト量が波長域内で大きく変化する露光マスクでは
位相シフト効果を利用した転写パターンの解像度の向上
が十分に発揮できないため、広帯域のシンクロトロン放
射光を用いたX線露光において、本実施形態によるSi
ON透過膜を用いた位相シフト制御法は転写パターンの
傍像度の向上をはかる上で有効である。
When the high-intensity region of the exposure light transmitted through the absorber portion is defined as a wavelength region having an intensity equal to or more than の of the maximum light intensity, the region other than the absorber portion and the portion other than the absorber may be used. The maximum value and the minimum value of the phase shift amount difference of the exposure light transmitted through in this wavelength range are 0.90π and 1.01π in the case of the Cu absorber, 0.96π in the case of the Cu-SiON absorber, 101π, which indicates that the X-ray exposure mask using the Cu-SiON absorber can control the amount of phase shift over the entire exposure wavelength range. In the case of an exposure mask in which the amount of phase shift greatly changes in the wavelength range, the resolution of a transfer pattern using the phase shift effect cannot be sufficiently improved, and therefore, in the X-ray exposure using synchrotron radiation of a wide band, Si
A phase shift control method using an ON transmission film is effective in improving the degree of by-image of a transfer pattern.

【0314】次に、このCu吸収体とSiON透過膜か
らなるX線露光用マスクの製造工程について説明する。
なお、製造工程図は、前記図27及び図28と実質的に
同じなのでこれらを参照するが、本実施形態では図中1
04のSiO2 がSiONに代わっている。
Next, the manufacturing process of the X-ray exposure mask comprising the Cu absorber and the SiON permeable film will be described.
Since the manufacturing process diagrams are substantially the same as those in FIG. 27 and FIG. 28, they will be referred to.
04 SiO 2 replaces SiON.

【0315】まず、図27(a)に示すように、洗浄さ
れた厚さ525μmの4インチSi(100)ウェハ1
01に減圧CVD法を用いて、基板温度1025℃,圧
力30Torrの条件で、10%水素希釈のシランガス15
0sccm、10%水素希釈のアセチレンガス65sccm、1
00%塩化水素ガス150sccmをキャリアガスである水
素10SLMと共に反応管内に導入し、X線透過性薄膜
102となる膜厚2μmのSiCを成膜した。続いて、
この基板の表面にRFスパッタリング装置を用いて、A
r圧力1mTorrの条件で、反射防止膜兼エッチングスト
ッパ103となる膜厚98nmのアルミナ膜を成膜し
た。そして、その上にSiH4 ,NH3 ,N2 O,ガス
を原料とするPECVD(Plasma Enhanced Chemical V
apor Deposition)法により、膜厚900nmのパター
ニング層104となるSiON膜を形成し、成膜後にア
ニール処理を施すことによりSiON膜の応力をほぼ0
MPaに調整した。
First, as shown in FIG. 27A, a 525 μm-thick 4-inch Si (100) wafer 1
The silane gas 15 diluted with 10% hydrogen was deposited on the substrate 01 at a substrate temperature of 1025 ° C. and a pressure of 30 Torr using a low pressure CVD method.
0 sccm, acetylene gas diluted with 10% hydrogen 65 sccm, 1
150 sccm of 00% hydrogen chloride gas was introduced into the reaction tube together with 10 SLM of hydrogen as a carrier gas to form a 2 μm-thick SiC film to be the X-ray transparent thin film 102. continue,
The surface of this substrate was subjected to A
Under a condition of r pressure of 1 mTorr, a 98 nm-thick alumina film serving as an antireflection film and an etching stopper 103 was formed. PECVD (Plasma Enhanced Chemical V) using SiH 4 , NH 3 , N 2 O and gas as raw materials
The stress of the SiON film is reduced to almost zero by forming an 900 nm thick SiON film to be the patterning layer 104 by an apor deposition method and performing an annealing process after the film formation.
It was adjusted to MPa.

【0316】次いで、図27(b)に示すように、RI
E装置を用い、アルミニウムをエッチングマスクとし
て、圧力10mTorr,RFパワー200Wの条件で、C
4 ガ25sccm,O2 ガス40sccmを供給し、裏面の中
心部の半径70mmの領域を除去し、Siウェハ101
をエッチングする際のマスクとなる開口領域105を形
成した。次いで、図27(c)に示すように、紫外線硬
化型エポキシ樹脂を用いて、外径125mm,内径72
mm,厚さ6.2mmのガラスリングをフレーム106
として接合した。さらにバックエッチング装置により、
このSiCの除去された部分に弗酸と硝酸の1対1混合
液を滴下し、Siのエッチング除去を行った。
Next, as shown in FIG.
E device, using aluminum as an etching mask under the conditions of pressure of 10 mTorr and RF power of 200 W, C
An F 4 gas of 25 sccm and an O 2 gas of 40 sccm are supplied to remove an area with a radius of 70 mm at the center of the back surface,
An opening region 105 serving as a mask when etching was formed. Next, as shown in FIG. 27C, an outer diameter of 125 mm and an inner diameter of
A glass ring having a thickness of 6.2 mm and a thickness of 6.2 mm
As joined. Furthermore, by back etching equipment,
A one-to-one mixed solution of hydrofluoric acid and nitric acid was dropped into the portion where the SiC had been removed, and the Si was removed by etching.

【0317】次いで、図27(d)に示すように、基板
上に市販の電子ビーム用ポジ型レジストZEP520
(粘度120cps)を回転数2000rpm,50秒
の条件で回転塗布し、ホットプレートを用いて175
℃,2分間のベーク処理を行い、膜厚300nmの感光
膜107を形成した。そして、加速電圧75kVの電子
ビーム描画装置を用いてパターン描画を行った。所望の
描画粘度を得るために、描画は4回の重ね書きによりパ
ターンを形成する多量描画を行い、基準照射量を96μ
C/cm2 として、照射量補正により近接効果補正を行
った。
Next, as shown in FIG. 27D, a commercially available positive resist for electron beam ZEP520 is formed on the substrate.
(Viscosity: 120 cps) by spinning at a rotation speed of 2000 rpm for 50 seconds, and using a hot plate for 175 times.
The photosensitive film 107 having a thickness of 300 nm was formed by performing a baking process at 2 ° C. for 2 minutes. Then, pattern writing was performed using an electron beam writing apparatus with an acceleration voltage of 75 kV. In order to obtain a desired drawing viscosity, the drawing is performed in a large amount to form a pattern by overwriting four times, and the reference irradiation amount is set to 96 μm.
As C / cm 2 , proximity effect correction was performed by irradiation amount correction.

【0318】描画後、現像処理として市販の現像液ZE
P−RDを用いて液温18℃,1分間の条件で現像を行
い、引き続きMIBKで1分間のリンスを行い現像液を
除去した。そして、形成されたレジストパターンをマス
クに、CHF3 及びCOガスを用いて反応性イオンエッ
チングによりSiON膜104の加工を行った。残留し
たレジストは、酸素プラズマ中で灰化処理して除去した
後、硫酸と過酸化水素水の混合液で洗浄した。
After drawing, a commercially available developing solution ZE was used as a developing process.
Development was performed using P-RD at a liquid temperature of 18 ° C. for 1 minute, followed by rinsing with MIBK for 1 minute to remove the developer. Then, using the formed resist pattern as a mask, the SiON film 104 was processed by reactive ion etching using CHF 3 and CO gas. The remaining resist was removed by ashing in oxygen plasma, and then washed with a mixed solution of sulfuric acid and hydrogen peroxide.

【0319】次いで、図28(a)に示すように、RF
スパッタリング装置を用いてAr圧力3mTorrの条件の
下で、X線吸収体108となる膜厚0.7μmの銅(C
u)を成膜した。続いて、図28(b)に示すように、
スパッタリングと同一真空中で500℃,5分間の熱処
理を施し、パターンの凹部にCuの凝集埋め込みを行っ
た。
Next, as shown in FIG.
Using a sputtering apparatus under a condition of an Ar pressure of 3 mTorr, copper (C
u) was formed. Subsequently, as shown in FIG.
A heat treatment was performed at 500 ° C. for 5 minutes in the same vacuum as the sputtering, and Cu was coagulated and embedded in the concave portions of the pattern.

【0320】最後に余分なCuの除去は、レジストエッ
チバックと呼ばれる以下の方法により行った。まず、マ
スク表面に、先程のレジスト塗布に用いた装置と同一の
装置で、市販の電子ビーム用レジストZEP520(粘
度12cps)を回転数2000rpm,50秒の条件
で回転塗布し、ホットプレートを用いて175℃,2分
間のべ一ク処理を行い、図28(c)に示すように、膜
厚300nmのレジスト膜109を形成した。このと
き、回転塗布の特性から、表面はほぼ平坦な塗布形状と
なる。続いて、図28(d)に示すように、HBrガス
を用いた反応性イオンエッチングにより、レジスト膜1
09とCuのエッチング速度がほぼ等しくなる条件で、
マスク表面をSiON表面が露出するまでエッチングし
た。
[0320] Finally, the removal of excess Cu was performed by the following method called resist etch back. First, a commercially available electron beam resist, ZEP520 (viscosity: 12 cps), is spin-coated on the mask surface under the same conditions as those used for the previous resist coating under the conditions of a rotation speed of 2000 rpm and 50 seconds, and using a hot plate. A baking treatment was performed at 175 ° C. for 2 minutes to form a resist film 109 having a thickness of 300 nm as shown in FIG. At this time, due to the characteristics of spin coating, the surface has a substantially flat coating shape. Subsequently, as shown in FIG. 28D, the resist film 1 was formed by reactive ion etching using HBr gas.
09 and the etching rate of Cu are almost equal,
The mask surface was etched until the SiON surface was exposed.

【0321】以上の工程により製作されたマスクを用い
て、シンクロトロン放射光源にミラーと真空隔壁Be膜
を備えたビームラインを用い、中心波長0.8nmの露
光光を用いて、Siウェハ上に塗布きれたレジストに転
写を行ったところ、線幅70nmのパターンを精度良く
形成することができた。
Using the mask manufactured by the above process, a synchrotron radiation light source, a beam line provided with a mirror and a vacuum partition Be film, and an exposure light having a center wavelength of 0.8 nm were used to form a mask on a Si wafer. When the pattern was transferred to the applied resist, a pattern having a line width of 70 nm could be formed accurately.

【0322】以上の方法により、所望の高精度のマスク
を作成することができることが示された。そして、本手
法により作成したマスクには以下のような利点があるこ
とが判明した。まず、SiON膜を用いることにより応
力制御が容易になることが挙げられる。SiONはその
膜の成膜時の応力制御が容易であり、また温度500
℃,1時間の熱処理においてもCuのSiON嘆中への
熱拡散が生じないことが、オージェ電子分光法及びラザ
フォード後方散乱分光法により示され、透過膜パターン
層として好適な材料であることが示された。
It has been shown that a desired high-precision mask can be formed by the above method. And it turned out that the mask created by this method has the following advantages. First, the use of an SiON film facilitates stress control. SiON is easy to control the stress at the time of forming the film and has a temperature of 500.
Auger electron spectroscopy and Rutherford backscattering spectroscopy show that Cu does not diffuse into the SiON layer even after heat treatment at 1 ° C. for 1 hour, indicating that it is a suitable material for the permeable film pattern layer. Was done.

【0323】従って、アスペクト比の高い凹部への吸収
体の埋め込みにおいても、高温で熱処理を行えるため、
熱散及びボイドの無い埋め込みが実現でき、高精度な吸
収体パターンの形成が可能になる。さらに、第14及び
第15の実施形態において作成されるメンブレン膜上に
X線吸収体及び透過膜のパターンが形成されているX線
露光用マスクは、吸収体及び透過膜の膜厚は等しく平坦
化されているため、吸収体パターンのみが形成されてい
るX線露光用マスクにおける微細パターン凹部に付着し
たごみ等の異物が存在せず、ごみ等の異物がその表面に
付着しても、表面を洗浄するだけで除去できるという利
点を持つことが示された。
Therefore, even when the absorber is buried in the recess having a high aspect ratio, the heat treatment can be performed at a high temperature.
Embedding without heat dissipation and voids can be realized, and a highly accurate absorber pattern can be formed. Further, in the X-ray exposure mask in which the patterns of the X-ray absorber and the transmission film are formed on the membrane films formed in the fourteenth and fifteenth embodiments, the thickness of the absorber and the transmission film is equal and flat. Therefore, there is no foreign matter such as dust attached to the fine pattern recesses in the X-ray exposure mask in which only the absorber pattern is formed, and even if foreign matter such as dust adheres to the surface, Has the advantage that it can be removed only by washing.

【0324】ここでの露光マスクの洗浄処理は、はじめ
に純水で洗浄し、次にオゾン濃度0.001%の溶存オ
ゾン水に3分間浸漬した後、弗酸濃度5%の弗酸水溶液
に90秒浸漬することで、表面の有機物を除去し、最後
に純水で洗浄して、一連の処理を終了する。X線露光用
マスクの異物による汚染は、転写露光において、重要な
問題である。マスクに異物が付着した場合は、それがウ
ェハに転写され、パターンの欠陥となるため、マスクの
異物の付着は極力避けなければならない。特にX線を用
いた露光では、X線物質の透過率が一般に極めて低く、
ごく小さな異物もX線を透過せず欠陥の原因となる。
The exposure mask was washed with pure water first, immersed in dissolved ozone water with an ozone concentration of 0.001% for 3 minutes, and then washed with a hydrofluoric acid aqueous solution with a hydrofluoric acid concentration of 5%. The organic matter on the surface is removed by immersion for 2 seconds, and finally, the substrate is washed with pure water to complete a series of processing. Contamination of the X-ray exposure mask due to foreign matter is an important problem in transfer exposure. If foreign matter adheres to the mask, the foreign matter is transferred to the wafer and causes a pattern defect. Therefore, it is necessary to avoid attaching foreign matter to the mask as much as possible. In particular, in exposure using X-rays, the transmittance of the X-ray substance is generally extremely low,
Even a very small foreign matter does not transmit X-rays and causes defects.

【0325】可視,紫外光を用いた露光方法では、しば
しばマスクの異物付着を防止するため、ニトロセルロー
ス,パリレン等の有機薄膜で形成されたペリクルが取り
付けられているが、X線露光においては、ペリクルX線
の吸収が大きく、露光光の強度を大きく減衰させること
や耐熱性が低く、それに伴い耐照射性が低い等の問題が
あり、一般にペリクルは使用されていない。従って、本
実施形態で作成されるX線露光用マスクは、簡易な洗浄
により異物の除去が容易に行えるという利点を持つた
め、マスクの洗浄及び異物除去の工程を低コストでき、
廉価な半導体装置或いは光学素子を供給することが可能
となる。
In an exposure method using visible or ultraviolet light, a pellicle formed of an organic thin film such as nitrocellulose or parylene is often attached to prevent foreign matter from adhering to a mask. Pellicles are generally not used because they have a large absorption of pellicle X-rays, greatly attenuate the intensity of exposure light, have low heat resistance, and have low irradiation resistance. Therefore, the X-ray exposure mask formed in the present embodiment has an advantage that foreign matter can be easily removed by simple cleaning, so that the mask cleaning and foreign matter removing steps can be performed at low cost,
Inexpensive semiconductor devices or optical elements can be supplied.

【0326】なお、本実施形態における、Cu吸収体の
代わりに、CuとZnの合金、例えば真鍮を吸収体とし
て用いることも可能である。図36及び下記の(表2
9)に示すように、Cu7 Zn3 をSiO2 透過膜、或
いはSiON透過膜の組み合わせたX線露光用マスクに
おいても、波長0.65〜1.02nmの露光光に対し
て位相シフト量の制御性に優れ(露光波長域内の分散量
±8%以内)、またマスクコントラストも、膜厚400
nmで3.58と十分な遮蔽性を持つ。従って、Cu7
3 をSiO2 透過膜或いはSiON透過膜パターンヘ
の埋め込み構造を持つX線露光用マスクは、CuのSi
ON透過膜パターンヘの埋め込み構造のX線露光用マス
クと同様に、これらを使用することにより高解像度の露
光転写を期待できる。
In this embodiment, an alloy of Cu and Zn, for example, brass can be used as the absorber instead of the Cu absorber. FIG. 36 and the following (Table 2)
As shown in 9), even in an X-ray exposure mask in which Cu 7 Zn 3 is combined with an SiO 2 transmission film or an SiON transmission film, the phase shift amount with respect to the exposure light having a wavelength of 0.65 to 1.02 nm. Excellent controllability (dispersion within ± 8% within the exposure wavelength range)
It has a sufficient shielding property of 3.58 in nm. Therefore, Cu 7
An X-ray exposure mask having a structure in which Z 3 is embedded in a SiO 2 permeable film or SiON permeable film pattern is made of Cu Si
As in the case of an X-ray exposure mask having a structure embedded in the ON transmission film pattern, exposure transfer with high resolution can be expected by using these masks.

【0327】[0327]

【表29】 [Table 29]

【0328】また、CuとZnの合金は柔らかく、第1
4の実施形態で説明したナノインプリント・リソグラフ
ィ法において、加圧する力を小さく、また融点が低いた
め熱処理温度も低く抑えられるため、Cuの埋め込みに
比べその作成が容易に行えるという利点を持つ。また、
ここでは、平坦部に残留した余分なCuをレジストエッ
チング法により除去したが、X線露光用マスクのような
自立薄膜で形成される物体用のポリッシング装置を用い
ることにより、その除去は容易に行うことができる。
The alloy of Cu and Zn is soft,
In the nanoimprint lithography method described in the fourth embodiment, since the pressing force is small and the melting point is low, the heat treatment temperature can be kept low. Also,
Here, excess Cu remaining on the flat portion is removed by a resist etching method, but the removal is easily performed by using a polishing apparatus for an object formed of a free-standing thin film such as an X-ray exposure mask. be able to.

【0329】(第16の実施形態)次に、上記方法によ
り製作されたX線露光用マスクを用いた微小デバイスの
生産方法について説明する。ここでいう微小デバイスと
は、集積回路,ULSI等の半導体チップ、更には液晶
デバイス,マイクロマシン,薄膜磁気ヘッド等が挙げら
れる。以下は、半導体デバイスの例を示す。
(Sixteenth Embodiment) Next, a method for producing a micro device using an X-ray exposure mask manufactured by the above method will be described. Examples of the microdevice here include an integrated circuit, a semiconductor chip such as an ULSI, a liquid crystal device, a micromachine, a thin-film magnetic head, and the like. The following shows an example of a semiconductor device.

【0330】図37は、半導体デバイス生産の処理フロ
ーを示す図である。1−1(回路設計)では、半導体デ
バイスの回路設計をCAD等を用いて行う。1−2(マ
スク製作)では、設計した回路パターンを形成したマス
クを製作する。一方、1−3(ウェハ製造)では、シリ
コン等の材料を用いてウェハを製造する。
FIG. 37 is a diagram showing a processing flow of semiconductor device production. In 1-1 (circuit design), a circuit of a semiconductor device is designed using CAD or the like. In 1-2 (mask production), a mask on which a designed circuit pattern is formed is produced. On the other hand, in 1-3 (wafer manufacturing), a wafer is manufactured using a material such as silicon.

【0331】1−4(ウエハプロセス)では、先の実施
形態等で用意したX線露光用マスクとウェハを用いて、
リソグラフィ技術(前処理,レジスト塗布,プリベー
ク,露光,ポストイクスポージャベーク(PEB),現
像・リンス,ポストベーク,エッチング,イオン注入,
レジスト剥離,検査等の工程からなる)によりウェハ上
に実際の回路パターンを形成する。次の1−5(組み立
て)は、後工程と、1−4によって作成されたウェハを
用いて半導体チップ化する工程であり、アッセンブリ工
程(ダイシング,ボンディング)、パッケージング工程
(チップ工程)等の工程を含む。1−6(検査・修理)
では、1−5で作成された半導体デバイスの動作確認テ
スト、耐久性確認テスト等の検査及び修理を行う。こう
した工程を経て半導体デバイスが完成し、これが出荷さ
れる。
In 1-4 (wafer process), using the X-ray exposure mask and wafer prepared in the above-described embodiment and the like,
Lithography technology (pretreatment, resist coating, pre-bake, exposure, post-exposure bake (PEB), development / rinse, post-bake, etching, ion implantation,
An actual circuit pattern is formed on the wafer by a process such as resist stripping and inspection). The next 1-5 (assembly) is a post-process and a process of forming a semiconductor chip using the wafer prepared in 1-4, and includes an assembly process (dicing, bonding), a packaging process (chip process), and the like. Process. 1-6 (Inspection and repair)
Then, inspections and repairs such as an operation check test and a durability check test of the semiconductor device created in 1-5 are performed. Through these steps, a semiconductor device is completed and shipped.

【0332】本実施形態の生産方法によれば、低コスト
のX線露光用マスクを使用することにより、転写露光工
程を低コスト化でき、廉価な半導体装置或いは光学素子
を供給することが可能となる。
According to the production method of this embodiment, it is possible to reduce the cost of the transfer exposure step by using a low-cost X-ray exposure mask, and to supply an inexpensive semiconductor device or optical element. Become.

【0333】[0333]

【発明の効果】以上詳述したように本発明によれば、マ
スク部に入射する光の最大光強度を波長0.6〜1nm
に持つシンクロトロン放射光を露光光源として用いたX
線露光において、この露光波長域に対して吸収が大きい
X線吸収体材料を用いることによりX線吸収体の薄膜化
が可能であり、また位相シフト量が制御された材料を用
いることにより転写パターンの解像性の向上が可能であ
るという、顕著な特徴を持つX線露光用マスクを実現す
ることができる。
As described above in detail, according to the present invention, the maximum light intensity of light incident on the mask portion is set to a wavelength of 0.6 to 1 nm.
X using the synchrotron radiation emitted from the camera as the exposure light source
In X-ray exposure, it is possible to make the X-ray absorber thinner by using an X-ray absorber material having a large absorption in this exposure wavelength range, and to use a material having a controlled phase shift amount to transfer pattern. X-ray exposure masks having remarkable characteristics that the resolution of X-rays can be improved can be realized.

【0334】また、X線露光用マスクの製造に際して、
ナノインプリント・リソグラフィ技術を採用し、剥離工
程を省き加熱工程を加えたことにより、多くの金属材料
においても高アスペクト比の構造を持つ微細パターンの
形成が可能となり、高精度な各種露光用マスクの製造を
簡便且つ低コストで行うことが可能となる。さらに、低
コストのX線露光用マスクを使用することにより、転写
露光工程を低コスト化でき、廉価な半導体装置或いは光
学素子を供給することが可能となる。
In manufacturing an X-ray exposure mask,
By adopting nanoimprint lithography technology, eliminating the peeling step and adding a heating step, it is possible to form a fine pattern with a high aspect ratio structure even for many metal materials, and manufacture high-precision various exposure masks Can be performed simply and at low cost. Furthermore, by using a low-cost X-ray exposure mask, the cost of the transfer exposure step can be reduced, and a low-cost semiconductor device or optical element can be supplied.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明のX線露光用マスクの構造を示す断面
図。
FIG. 1 is a sectional view showing the structure of an X-ray exposure mask according to the present invention.

【図2】本発明のX線露光用マスクの構造を示す断面図
(Da=Dt)。
FIG. 2 is a cross-sectional view (Da = Dt) showing the structure of the X-ray exposure mask of the present invention.

【図3】本発明のX線露光用マスクの構造を示す断面図
(Da<Dt)。
FIG. 3 is a cross-sectional view (Da <Dt) showing the structure of the X-ray exposure mask of the present invention.

【図4】本発明のX線露光用マスクの構造を示す断面図
(Da>Dt)。
FIG. 4 is a cross-sectional view (Da> Dt) showing the structure of the X-ray exposure mask of the present invention.

【図5】シンクロトロン放射光の強度分布を示す図。FIG. 5 is a diagram showing an intensity distribution of synchrotron radiation.

【図6】従来のX線露光用マスクの構造及び露光方法を
示す図。
FIG. 6 is a view showing a structure of a conventional X-ray exposure mask and an exposure method.

【図7】従来のX線露光用マスクの構造を示す図。FIG. 7 is a view showing the structure of a conventional X-ray exposure mask.

【図8】従来のX線露光用マスクの構造を示す図。FIG. 8 is a view showing the structure of a conventional X-ray exposure mask.

【図9】Cu,Gd,Ta,W,Auの吸収特性を示す
図。
FIG. 9 is a diagram showing absorption characteristics of Cu, Gd, Ta, W, and Au.

【図10】Gdx Auy の吸収特性及びマスクコントラ
ストを示す図。
FIG. 10 is a diagram showing absorption characteristics and mask contrast of Gd x Au y .

【図11】Ni,Cu,Ta,W,Au,Cu−SiO
2 の位相特性を示す図。
FIG. 11: Ni, Cu, Ta, W, Au, Cu—SiO
Shows a second phase characteristic.

【図12】グループI(Co,Ni,Cu,Zn,G
a)の位相特性を示す図。
FIG. 12 shows a group I (Co, Ni, Cu, Zn, G
The figure which shows the phase characteristic of a).

【図13】グループII(Tc,Rh,Pd,Ag,T
e)の位相特性を示す図。
FIG. 13: Group II (Tc, Rh, Pd, Ag, T
The figure which shows the phase characteristic of e).

【図14】グループIII (La,Ce,Nd,Sm,E
u)の位相特性を示す図。
FIG. 14: Group III (La, Ce, Nd, Sm, E
The figure which shows the phase characteristic of u).

【図15】グループIV(Ir,Pt,Au,Pb,F
r)の位相特性を示す図。
FIG. 15 shows a group IV (Ir, Pt, Au, Pb, F
The figure which shows the phase characteristic of r).

【図16】Co,Ni,Cu,Znの位相特性を示す
図。
FIG. 16 is a diagram showing phase characteristics of Co, Ni, Cu, and Zn.

【図17】Smx Auy の位相特性及びマスクコントラ
ストを示す図。
17 illustrates the phase characteristic and the mask contrast of Sm x Au y.

【図18】Si3 4 ,SiC,Si,ダイヤモンド膜
の透過特性を示す図。
FIG. 18 is a diagram showing transmission characteristics of a Si 3 N 4 , SiC, Si, and diamond film.

【図19】Mg,Al,Si,MgO,Al2 3 ,S
iO2 膜の透過特性を示す図。
FIG. 19: Mg, Al, Si, MgO, Al 2 O 3 , S
graph showing the transmission characteristics of the iO 2 film.

【図20】Ca,Sc,Ti,CaO,Sc2 3 ,T
iO2 膜の透過特性を示す図。
FIG. 20: Ca, Sc, Ti, CaO, Sc 2 O 3 , T
graph showing the transmission characteristics of the iO 2 film.

【図21】Sr,SrO,SrF2 膜の透過特性を示す
図。
FIG. 21 is a view showing transmission characteristics of Sr, SrO, and SrF 2 films.

【図22】Y,Zr,Y2 3 ,ZrO2 膜の透過特性
を示す図。
FIG. 22 is a view showing transmission characteristics of Y, Zr, Y 2 O 3 , and ZrO 2 films.

【図23】Au吸収体と各種透過膜埋め込み構造におけ
る位相特性を示す図。
FIG. 23 is a diagram showing phase characteristics in an Au absorber and various permeable membrane embedded structures.

【図24】Cu吸収体と各種透過膜埋め込み構造におけ
る位相特性を示す図。
FIG. 24 is a diagram showing phase characteristics in a Cu absorber and various permeable film embedded structures.

【図25】Ni吸収体と各種透過膜埋め込み構造におけ
る位相特性を示す図。
FIG. 25 is a view showing phase characteristics in a Ni absorber and various permeable film embedded structures.

【図26】第11の実施形態に係わるX線露光装置の構
成を示す図。
FIG. 26 is a diagram showing a configuration of an X-ray exposure apparatus according to an eleventh embodiment.

【図27】第12の実施形態に係わるX線露光用マスク
の製造工程を示す断面図。
FIG. 27 is a sectional view showing a manufacturing step of the X-ray exposure mask according to the twelfth embodiment.

【図28】第12の実施形態に係わるX線露光用マスク
の製造工程を示す断面図。
FIG. 28 is a cross-sectional view showing a step of manufacturing the X-ray exposure mask according to the twelfth embodiment.

【図29】第12の実施形態による効果を説明するため
の図。
FIG. 29 is a view for explaining effects according to the twelfth embodiment;

【図30】第13の実施形態に係わるポリッシング装置
を説明するための断面図。
FIG. 30 is a sectional view for explaining a polishing apparatus according to a thirteenth embodiment;

【図31】第14の実施形態で用いたX線露光用マスク
の製造工程を示す断面図。
FIG. 31 is a sectional view showing a manufacturing step of the X-ray exposure mask used in the fourteenth embodiment.

【図32】第14の実施形態で用いたX線露光用マスク
の製造工程を示す断面図。
FIG. 32 is a cross-sectional view showing a step of manufacturing the X-ray exposure mask used in the fourteenth embodiment.

【図33】第14の実施形態の変形例を示す工程断面
図。
FIG. 33 is a process sectional view showing a modification of the fourteenth embodiment;

【図34】SiON膜及びSiO2 膜におけるX線透過
特性を示す図。
FIG. 34 is a view showing X-ray transmission characteristics of a SiON film and a SiO 2 film.

【図35】2つのX線露光用マスクにおける位相シフト
量及び露光光強度の波長依存性を示す図。
FIG. 35 is a view showing the wavelength dependence of the phase shift amount and the exposure light intensity in two X-ray exposure masks.

【図36】Cu及びCuZn吸収体、SiON及びSi
2 透過膜からなるX線露光用マスクの位相シフト量の
波長依存性を示す図。
FIG. 36: Cu and CuZn absorber, SiON and Si
FIG. 4 is a diagram illustrating the wavelength dependence of the amount of phase shift of an X-ray exposure mask made of an O 2 transmission film.

【図37】第16の実施形態に係わる半導体デバイス生
産の処理フローを示す図。
FIG. 37 is a view showing a processing flow of semiconductor device production according to the sixteenth embodiment;

【符号の説明】[Explanation of symbols]

1…X線露光用マスク 2…レジスト 3…基板 4…X線(シンクロトロン放射光) 5…本発明に係わる吸収体材料からなるパターン 5’…従来例における吸収体材料 6…メンブレン(支持膜) 7…支持枠 8…本発明に係わる透過膜材料からなるパターン 8’…本発明に係わる第1の透過膜 8”…本発明に係わる第2の透過膜 10…樹脂又は二酸化珪素パターン 14…シンクロトロン放射光源 22…集光ミラー 23…揺動ミラー 24…ダイヤモンド窓 25…ベリリウム窓 26…窒化珪素窓 27…X線露光用マスク 28…ステッパ 29…ウェハ 101…成膜基板(Siウェハ) 102…X線透過性薄膜(SiC) 103…反射防止膜兼エッチングストッパ(Al
2 3 ) 104…パターニング層(SiO2 ) 105…開口領域 106…フレーム 107…レジスト(感光膜) 108…吸収体(Cu) 109…レジスト 110…開口部 211…研磨定盤 212…研磨パッド 213…研磨剤 214…研磨剤タンク 215…供給量制御機構 216…研磨剤供給配管 217…マスク 218…Oリング 219…台座 220…クランプ 221…圧力調整流体(純水) 222…流入管 223…流出管 224…圧力計 225a,b…流量調整機構 226…恒温装置 227…センサ 228…制御計算機 229…体積変形可能な機構
DESCRIPTION OF SYMBOLS 1 ... X-ray exposure mask 2 ... Resist 3 ... Substrate 4 ... X-ray (synchrotron radiation) 5 ... Pattern made of the absorber material according to the present invention 5 '... Absorber material in a conventional example 6 ... Membrane (support film) 7) Support frame 8 ... Pattern made of permeable membrane material according to the present invention 8 '... First permeable membrane according to the present invention 8 "... Second permeable membrane according to the present invention 10 ... Resin or silicon dioxide pattern 14 ... Synchrotron radiation light source 22 Condensing mirror 23 Oscillating mirror 24 Diamond window 25 Beryllium window 26 Silicon nitride window 27 X-ray exposure mask 28 Stepper 29 Wafer 101 Film forming substrate (Si wafer) 102 ... X-ray transparent thin film (SiC) 103 ... Anti-reflection film and etching stopper (Al
2 O 3 ) 104 patterning layer (SiO 2 ) 105 opening area 106 frame 107 resist (photosensitive film) 108 absorber (Cu) 109 resist 110 opening 211 polishing platen 212 polishing pad 213 ... Abrasive 214 ... Abrasive tank 215 ... Supply control mechanism 216 ... Abrasive supply pipe 217 ... Mask 218 ... O-ring 219 ... Pedestal 220 ... Clamp 221 ... Pressure adjusting fluid (pure water) 222 ... Inflow pipe 223 ... Outflow pipe 224 pressure gauge 225a, b flow rate adjustment mechanism 226 constant temperature device 227 sensor 228 control computer 229 volume deformable mechanism

Claims (15)

【特許請求の範囲】[Claims] 【請求項1】メンブレン膜上にX線吸収体からなるパタ
ーンが形成されたX線マスク部と、このX線マスク部を
支持する支持体とを備えたX線露光用マスクにおいて、 前記X線マスク部に入射する光の最大光強度を波長0.
6〜1nmに持つシンクロトロン放射光を露光光源とし
て用い、前記X線吸収体材料は、密度/原子量が0.0
85[g/cm3 ]以上であり、且つL殻吸収端を波長
0.75〜1.6nmに持つ元素、又は密度/原子量が
0.04[g/cm3 ]以上であり、且つM殻吸収端を波
長0.75〜1.6nmに持つ元素を含むことを特徴と
するX線露光用マスク。
1. An X-ray exposure mask comprising: an X-ray mask having a pattern formed of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask. The maximum light intensity of the light incident on the mask portion is set to a wavelength of 0.1.
Synchrotron radiation having a wavelength of 6-1 nm is used as an exposure light source, and the X-ray absorber material has a density / atomic weight of 0.0
85 is a [g / cm 3] or more, and elements having an L-shell absorption edge in the wavelength 0.75~1.6Nm, or density / atomic weight 0.04 [g / cm 3] or more, and M shells An X-ray exposure mask comprising an element having an absorption edge at a wavelength of 0.75 to 1.6 nm.
【請求項2】前記X線吸収体材料は、Co,Ni,C
u,Zn,Ga,La,Ce,Pr,Nd,Pm,S
m,Eu,Gd,Tb,Dy,Ho,Er,Tm,Yb
の何れかの少なくとも1つの元素を含むことを特徴とす
る請求項1記載のX線露光用マスク。
2. The X-ray absorber material comprises Co, Ni, C
u, Zn, Ga, La, Ce, Pr, Nd, Pm, S
m, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb
2. The X-ray exposure mask according to claim 1, further comprising at least one element selected from the group consisting of:
【請求項3】メンブレン膜上にX線吸収体からなるパタ
ーンが形成されたX線マスク部と、このX線マスク部を
支持する支持体とを備えたX線露光用マスクにおいて、 前記X線マスク部に入射する光の最大光強度を0.6〜
1nmに持つシンクロトロン放射光を露光光源として用
い、前記X線吸収体は、L殻吸収端或いはM殻吸収端を
波長0.75〜1.6nmに持つ単体元素の何れかから
なる第1の材料と、M殻吸収端を波長0.5〜0.75
nmに持つ単体元素の何れかからなる第2の材料との、
合金又は積層膜であることを特徴とするX線露光用マス
ク。
3. An X-ray exposure mask comprising: an X-ray mask having a pattern of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask. The maximum light intensity of light incident on the mask part is 0.6 to
A synchrotron radiation having a wavelength of 1 nm is used as an exposure light source, and the X-ray absorber is a first element made of any one of elemental elements having an L-shell absorption edge or an M-shell absorption edge at a wavelength of 0.75 to 1.6 nm. The material and the M-shell absorption edge have a wavelength of 0.5 to 0.75.
with a second material consisting of any of the elementary elements having
An X-ray exposure mask, which is an alloy or a laminated film.
【請求項4】メンブレン膜上にX線吸収体からなるパタ
ーンが形成されたX線マスク部と、このX線マスク部を
支持する支持体とを備えたX線露光用マスクにおいて、 前記X線吸収体として、全てのL殻及びM殻吸収端が、
露光光源であるシンクロトロン放射光の露光波長域(光
強度として前記X線マスク部に入射する最大光強度の波
長における光強度の1/10以上の強度を持つ波長域を
露光波長域とする)の最短波長以下、或いは露光波長の
最長波長以上の領域である元素を主成分とする材料を用
いることを特徴とするX線露光用マスク。
4. An X-ray exposure mask comprising: an X-ray mask having a pattern formed of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask. As an absorber, all L-shell and M-shell absorption edges are:
Exposure wavelength region of synchrotron radiation light as an exposure light source (a wavelength region having an intensity of 1/10 or more of the light intensity at the wavelength of the maximum light intensity incident on the X-ray mask portion as the light intensity is defined as an exposure wavelength region). An X-ray exposure mask, characterized by using a material containing an element as a main component, which is a region of not more than the shortest wavelength or not less than the longest wavelength of the exposure wavelength.
【請求項5】前記X線吸収体材料は、Ti,V,Cr,
Mn,Fe,Co,Ni,Cu,Zn,Nb,Mo,T
c,Ru,Rh,Pd,Ag,La,Ce,Pr,N
d,Pm,Sm,Eu,Gdの何れかの少なくとも1つ
の元素を含むことを特徴とする請求項4記載のX線露光
用マスク。
5. The X-ray absorber material comprises Ti, V, Cr,
Mn, Fe, Co, Ni, Cu, Zn, Nb, Mo, T
c, Ru, Rh, Pd, Ag, La, Ce, Pr, N
The X-ray exposure mask according to claim 4, further comprising at least one element selected from the group consisting of d, Pm, Sm, Eu, and Gd.
【請求項6】メンブレン膜上にX線吸収体からなるパタ
ーンが形成されたX線マスク部と、このX線マスク部を
支持する支持体とを備えたX線露光用マスクにおいて、 前記X線マスク部に入射する光の最大光強度波長を0.
6〜1nmに持つシンクロトロン放射光を露光光源とし
て用い、前記X線吸収体として、その全てのL殻及びM
殻吸収端が0.65nm以下、或いは1.02nm以上
の領域である元素を主成分とする材料を用いたことを特
徴とするX線露光用マスク。
6. An X-ray exposure mask comprising: an X-ray mask portion having a pattern formed of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask portion. The maximum light intensity wavelength of light incident on the mask portion is set to 0.
Synchrotron radiation having a wavelength of 6 to 1 nm is used as an exposure light source, and all of the L shell and M are used as the X-ray absorber.
An X-ray exposure mask using a material whose main component is an element having a shell absorption edge of 0.65 nm or less or 1.02 nm or more.
【請求項7】メンブレン膜上にX線吸収体からなるパタ
ーンが形成されたX線マスク部と、このX線マスク部を
支持する支持体とを備えたX線露光用マスクにおいて、 前記X線吸収体として、全てのL殻及びM殻吸収端が、
露光光源であるシンクロトロン放射光の露光波長域(光
強度として該X線露光用マスクに入射する最大光強度の
波長における光強度の1/10以上の強度を持つ波長域
を露光波長域とする)の最短波長以下或いは露光波長の
最長波長以上の領域であり、且つ何れか1つの吸収端が
露光波長域の最短波長から最短波長より0.4nm短い
波長までの波長域にある第1の材料と、全てのL殻及び
M殻吸収端が、露光光源であるシンクロトロン放射光の
露光波長域の最短波長以下或いは露光波長の最長波長以
上の領域であり、且つ何れか1つの吸収端が露光波長域
の最長波長から最長波長より0.6nm長い波長までの
波長域にある第2の材料とを組み合わせた合金又は積層
膜を用いることを特徴とするX線露光用マスク。
7. An X-ray exposure mask comprising: an X-ray mask portion having a pattern made of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask portion. As an absorber, all L-shell and M-shell absorption edges are:
Exposure wavelength region of synchrotron radiation light as an exposure light source (a wavelength region having an intensity of 1/10 or more of the light intensity at the wavelength of the maximum light intensity incident on the X-ray exposure mask is defined as the exposure wavelength region. A) the first material whose wavelength is equal to or less than the shortest wavelength or equal to or greater than the longest wavelength of the exposure wavelength, and wherein any one absorption edge is in a wavelength range from the shortest wavelength of the exposure wavelength range to a wavelength 0.4 nm shorter than the shortest wavelength. And all the L-shell and M-shell absorption edges are in the region not longer than the shortest wavelength of the exposure wavelength region of the synchrotron radiation light as the exposure light source or not shorter than the longest wavelength of the exposure wavelength, and one of the absorption edges is exposed. An X-ray exposure mask using an alloy or a laminated film in which a second material in a wavelength range from the longest wavelength to a wavelength 0.6 nm longer than the longest wavelength is combined.
【請求項8】メンブレン膜上にX線吸収体からなるパタ
ーンが形成されたX線マスク部と、このX線マスク部を
支持する支持体とを備えたX線露光用マスクにおいて、 露光波長域(光強度として該X線露光用マスクに入射す
る最大光強度の波長における光強度の1/10以上の強
度を持つ波長域を露光波長域とする)を0.65nmか
ら1.02nmの間に有するシンクロトロン放射光を露
光光源として用い、 前記X線吸収体として、全てのL殻及びM殻吸収端が、
前記露光波長域の最短波長以下或いは最長波長以上の領
域にあり、且つ何れか1つの吸収端が前記露光波長域の
最短波長から最短波長より0.4nm短い波長までの波
長域にある第1の材料と、全てのL殻及びM殻吸収端
が、前記露光波長の最短波長以下或いは最長波長以上の
領域にあり、且つ何れか1つの吸収端が露光波長域の最
長波長から最長波長より0.6nm長い波長までの波長
域に有する第2の材料とを組み合わせた合金又は積層膜
を用いることを特徴とするX線露光用マスク。
8. An X-ray exposure mask comprising an X-ray mask having a pattern formed of an X-ray absorber formed on a membrane film, and a support for supporting the X-ray mask, comprising: (A wavelength region having an intensity of 1/10 or more of the light intensity at the wavelength of the maximum light intensity incident on the X-ray exposure mask as the light intensity) is set to an exposure wavelength range of 0.65 nm to 1.02 nm. Using the synchrotron radiation light having as an exposure light source, as the X-ray absorber, all L-shell and M-shell absorption edges,
A first wavelength in a wavelength range from the shortest wavelength or less to the longest wavelength or more in the exposure wavelength range, and any one absorption edge is in a wavelength range from the shortest wavelength in the exposure wavelength range to a wavelength 0.4 nm shorter than the shortest wavelength. The material and all of the L-shell and M-shell absorption edges are in the region below the shortest wavelength or above the longest wavelength of the exposure wavelength, and any one absorption edge is from the longest wavelength of the exposure wavelength region to 0. An X-ray exposure mask using an alloy or a stacked film in combination with a second material having a wavelength range up to 6 nm longer.
【請求項9】メンブレン膜上にX線吸収体からなるパタ
ーンが形成されたX線マスク部と、このX線マスク部を
支持する支持体とを備えたX線露光用マスクにおいて、 前記メンブレン膜上に、前記X線吸収体パターンとは異
なる透過膜パターンを有することを特徴とするX線露光
用マスク。
9. An X-ray exposure mask comprising: an X-ray mask having a pattern formed of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask. An X-ray exposure mask having a transparent film pattern different from the X-ray absorber pattern thereon.
【請求項10】メンブレン膜上にX線吸収体からなるパ
ターンが形成されたX線マスク部と、このX線マスク部
を支持する支持体とを備えたX線露光用マスクにおい
て、 前記X線マスク部に入射する光の最大光強度の波長にお
ける光強度の1/10以上の強度を持つ波長域を露光波
長域とするとき、前記X線吸収体材料として、該露光波
長域内の波長に対する位相シフト量の最大及び最小位相
シフト量が該露光波長域内の平均位相シフト量の±10
%以内となるものを用いることを特徴とするX線露光用
マスク。
10. An X-ray exposure mask comprising: an X-ray mask portion having a pattern formed of an X-ray absorber formed on a membrane film; and a support for supporting the X-ray mask portion. When a wavelength region having an intensity of 1/10 or more of the light intensity at the wavelength of the maximum light intensity of the light incident on the mask portion is defined as an exposure wavelength region, the X-ray absorber material has a phase with respect to a wavelength within the exposure wavelength region. The maximum and minimum phase shift amounts are ± 10 of the average phase shift amount within the exposure wavelength range.
%. A mask for X-ray exposure characterized by using an X-ray exposure mask having a ratio of less than 10%.
【請求項11】請求項9記載のX線露光用マスクを製造
するためのX線露光用マスクの製造方法において、 前記メンブレン膜上に前記透過膜パターンを形成する工
程と、次いで前記X線吸収体をスパッタリング法又は化
学気相蒸着法により堆積する工程と、次いで熱処理する
工程とを含むことを特徴とするX線露光用マスクの製造
方法。
11. The method for manufacturing an X-ray exposure mask for manufacturing an X-ray exposure mask according to claim 9, wherein said transmitting film pattern is formed on said membrane film, and said X-ray absorption is performed. A method for manufacturing an X-ray exposure mask, comprising: a step of depositing a body by a sputtering method or a chemical vapor deposition method; and a step of subsequently performing a heat treatment.
【請求項12】請求項9記載のX線露光用マスクを製造
するためのX線露光用マスクの製造方法において、 前記メンブレン膜上に前記X線吸収体パターンを形成す
る工程と、次いで前記透過膜をスパッタリング法又は化
学気相蒸着法により堆積する工程と、次いで熱処理する
工程とを含むことを特徴とするX線露光用マスクの製造
方法。
12. The method for manufacturing an X-ray exposure mask for manufacturing an X-ray exposure mask according to claim 9, wherein the step of forming the X-ray absorber pattern on the membrane film and the step of transmitting the X-ray light are performed. A method for manufacturing an X-ray exposure mask, comprising: a step of depositing a film by a sputtering method or a chemical vapor deposition method; and a step of subsequently performing a heat treatment.
【請求項13】X線透過薄膜上にX線吸収体とは異なる
物質からなる第1の層を形成する工程と、第1の層に所
望のパターンを形成する工程と、前記X線透過薄膜及び
第1の層の上にX線吸収体層を形成する工程と、前記X
線吸収体層上に第2の層を形成して表面を平坦化する工
程と、前記X線吸収体層と第2の層を同時に加工する工
程とを含むことを特徴とするX線露光用マスクの製造方
法。
13. A step of forming a first layer made of a substance different from an X-ray absorber on an X-ray transparent thin film, a step of forming a desired pattern on the first layer, and a step of forming the X-ray transparent thin film. And forming an X-ray absorber layer on the first layer;
Forming a second layer on the X-ray absorber layer to flatten the surface thereof, and simultaneously processing the X-ray absorber layer and the second layer. Manufacturing method of mask.
【請求項14】請求項1〜10のいずれかに記載のX線
露光用マスクと、このマスクに対し最大光強度を波長
0.6〜1nmに持つシンクロトロン放射光を照射する
ためのX線源と、前記マスクを透過したX線をウェハ上
に投影露光する手段とを具備してなることを特徴とする
パターン露光装置。
14. An X-ray exposure mask according to any one of claims 1 to 10, and an X-ray for irradiating the mask with synchrotron radiation having a maximum light intensity of 0.6 to 1 nm. A pattern exposure apparatus comprising: a source; and a unit configured to project and expose the X-ray transmitted through the mask onto a wafer.
【請求項15】請求項1〜10のいずれかに記載のX線
露光用マスクを用い、さらに前記X線マスク部に入射す
る光の最大光強度を波長0.6〜1nmに持つシンクロ
トロン放射光を露光光源に用いて、前記マスクに形成さ
れたパターンをウェハ上に露光転写することを特徴とす
るパターン露光方法。
15. A synchrotron radiation using the mask for X-ray exposure according to claim 1 and further having a maximum light intensity of light incident on said X-ray mask portion at a wavelength of 0.6 to 1 nm. A pattern exposure method comprising exposing and transferring a pattern formed on the mask onto a wafer using light as an exposure light source.
JP17699199A 1998-06-23 1999-06-23 X-ray exposure mask Expired - Fee Related JP3363110B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP17699199A JP3363110B2 (en) 1998-06-23 1999-06-23 X-ray exposure mask

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP17644998 1998-06-23
JP10-176449 1998-06-23
JP17699199A JP3363110B2 (en) 1998-06-23 1999-06-23 X-ray exposure mask

Publications (2)

Publication Number Publication Date
JP2000156343A true JP2000156343A (en) 2000-06-06
JP3363110B2 JP3363110B2 (en) 2003-01-08

Family

ID=26497367

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17699199A Expired - Fee Related JP3363110B2 (en) 1998-06-23 1999-06-23 X-ray exposure mask

Country Status (1)

Country Link
JP (1) JP3363110B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002047134A1 (en) * 2000-12-06 2002-06-13 Tokyo Electron Limited Stencil mask and manufacturing method thereof
JP2004273794A (en) * 2003-03-10 2004-09-30 Mitsubishi Electric Corp Manufacturing method of x-ray mask and semiconductor device using x-ray mask manufactured by the same
KR100493860B1 (en) * 2000-09-18 2005-06-10 캐논 가부시끼가이샤 X-ray exposure apparatus and method, semiconductor manufacturing apparatus, and microstructure
CN112859539A (en) * 2021-01-21 2021-05-28 上海应用技术大学 X-ray exposure device
US11626283B2 (en) 2016-02-19 2023-04-11 Air Water Inc. Compound semiconductor substrate, a pellicle film, and a method for manufacturing a compound semiconductor substrate

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100493860B1 (en) * 2000-09-18 2005-06-10 캐논 가부시끼가이샤 X-ray exposure apparatus and method, semiconductor manufacturing apparatus, and microstructure
US6947519B2 (en) 2000-09-18 2005-09-20 Canon Kabushiki Kaisha X-ray exposure apparatus and method, semiconductor manufacturing apparatus, and microstructure
WO2002047134A1 (en) * 2000-12-06 2002-06-13 Tokyo Electron Limited Stencil mask and manufacturing method thereof
JP2004273794A (en) * 2003-03-10 2004-09-30 Mitsubishi Electric Corp Manufacturing method of x-ray mask and semiconductor device using x-ray mask manufactured by the same
US11626283B2 (en) 2016-02-19 2023-04-11 Air Water Inc. Compound semiconductor substrate, a pellicle film, and a method for manufacturing a compound semiconductor substrate
CN112859539A (en) * 2021-01-21 2021-05-28 上海应用技术大学 X-ray exposure device

Also Published As

Publication number Publication date
JP3363110B2 (en) 2003-01-08

Similar Documents

Publication Publication Date Title
JP6889792B2 (en) Glass ceramics for ultraviolet lithography and its manufacturing method
TWI654477B (en) Ultra-violet reticle base system and its production system
TWI589985B (en) Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
TW201937266A (en) Method for processing a mask substrate to enable better film quality
CN106169415A (en) Magic eye hard mask for many patterning application
TW202034062A (en) Extreme ultraviolet mask absorber materials
US20210255538A1 (en) Mask blank, phase shift mask, and method for manufacturing semiconductor device
US8815475B2 (en) Reticle carrier
JPH0864524A (en) Preparation of x-ray absorption mask
US6366639B1 (en) X-ray mask, method of manufacturing the same, and X-ray exposure method
JP2000156343A (en) Mask for x-ray exposure
US6381300B1 (en) Exposure mask, exposure mask manufacturing method, and semiconductor device manufacturing method using exposure mask
JP2010045211A (en) Method of manufacturing reflective mask for euv lithography
US5751780A (en) X-ray mask structure, preparation thereof and X-ray exposure method
JP2001028330A (en) Exposure mask, exposure method, and manufacture of exposure mask
US5607733A (en) Process for preparing an X-ray mask structure
JP5896402B2 (en) Mask blank manufacturing method, transfer mask manufacturing method, and semiconductor device manufacturing method
TWI607279B (en) Phase-shift blankmask and photomask manufactured with the same
JPH02503239A (en) Monolithic channel mask with amorphous/single crystal structure
JP3195328B2 (en) X-ray mask and method of manufacturing X-ray mask
JPH1050578A (en) X-ray lithographic mask structure, manufacture thereof and semiconductor device using this structure
JPH0472610A (en) X-ray mark structure and manufacture thereof, x-ray exposure process
JPH0378776B2 (en)

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081025

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081025

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091025

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees