EP1071128A2 - Procédé d'identification en temps réel de sources de défauts sur des substrats semiconducteurs - Google Patents

Procédé d'identification en temps réel de sources de défauts sur des substrats semiconducteurs Download PDF

Info

Publication number
EP1071128A2
EP1071128A2 EP00306142A EP00306142A EP1071128A2 EP 1071128 A2 EP1071128 A2 EP 1071128A2 EP 00306142 A EP00306142 A EP 00306142A EP 00306142 A EP00306142 A EP 00306142A EP 1071128 A2 EP1071128 A2 EP 1071128A2
Authority
EP
European Patent Office
Prior art keywords
defect
tool
wafer
defects
identifiers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP00306142A
Other languages
German (de)
English (en)
Other versions
EP1071128A3 (fr
Inventor
Sasson Somekh
Amotz Maimon
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of EP1071128A2 publication Critical patent/EP1071128A2/fr
Publication of EP1071128A3 publication Critical patent/EP1071128A3/fr
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/50Machine tool, machine tool null till machine tool work handling
    • G05B2219/50064Camera inspects workpiece for errors, correction of workpiece at desired position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Definitions

  • the present invention relates to a method and apparatus for identifying the causes of defects on the surface of a semiconductor substrate.
  • the invention has particular applicability for in-line inspection of semiconductor wafers during manufacture of high-density semiconductor devices with submicron design features.
  • a number of the wafers in a cassette are inspected, typically at a stand-alone inspection tool, per instructions from the MES.
  • the inspection tool the surface of the wafer to be inspected is scanned by a high-speed inspection device; for example, an opto-electric converter such as a CCD (charge-coupled device) or a laser.
  • the inspection tool then informs the MES that it has completed its inspection.
  • Statistical methods are thereafter employed by the inspection tool to produce a defect map showing suspected locations on the wafer having a high probability of a defect.
  • a review of the potential defect sites is then conducted using the defect map, either at the inspection tool or at a separate stand-alone review station, typically by comparing images of suspected defect sites with reference images to positively determine the presence of a defect, and then analyzing the images to determine the nature of the defect (e.g., a defective pattern, a particle, or a scratch) and its cause.
  • process data from the MES, and inspection and review results from the inspection tools and review stations are periodically downloaded to another stand-alone computer software-implemented system called a “yield management system” (YMS), which employs statistical process control methods to monitor process quality. If the YMS determines that the process is performing outside predetermined control limits, it generates data, such as a list of tools visited by wafers exhibiting defects and the process parameters used at those tools, which are helpful in diagnosing processing problems. The user may then analyze this data to isolate the causes of the defects.
  • YMS yield management system
  • the YMS generates and reports its findings "off-line”; i.e., physically remote from the wafer processing tools and inspection tools, and remote in time from when the processing took place.
  • communication between the MES and the YMS occurs only once or twice a day and, therefore, data from the YMS reaches the user many hours after the wafer has visited the process and inspection tools.
  • the user does not receive YMS data in "real time”; i.e., at the time of wafer inspection when the user discovers that defects are occurring, the user does not have the benefit of this extremely valuable information when it is most needed; i.e., when making on-the-spot process adjustments or fault diagnoses.
  • An advantage of the present invention is the ability to automatically retrieve information relating to the tools visited by a semiconductor wafer at a wafer inspection tool at the time of inspection of the wafer, thereby enabling efficient identification of process problem areas.
  • a method of inspecting a semiconductor wafer comprises subjecting the wafer to a plurality of processing steps using a plurality of processing tools, each of the processing tools respectively associated with a different tool identifier and set of process parameters, and storing the tool identifiers and the set of process parameters.
  • the wafer is then inspected for defects with an inspection tool and a list of the tool identifiers generated, using the inspection tool.
  • the user of the present invention can then request a display of the set of process parameters associated with one of the listed tool identifiers.
  • Another aspect of the present invention is an apparatus for carrying out the steps of the above method.
  • a further aspect of the present invention is a computer-readable medium bearing instructions for inspecting a semiconductor wafer, which wafer has been subjected to a plurality of processing steps using a plurality of processing tools, each of the processing tools respectively associated with a different tool identifier, the instructions, when executed, being arranged to cause one or more processors to perform the steps of receiving the tool identifiers; inspecting the wafer for defects; and generating a list of the tool identifiers.
  • a semiconductor wafer is processed on a plurality of different processing tools, and a unique tool identifier is stored along with a set of process parameters for each tool, as by an MES.
  • the wafer is inspected using an inspection tool, such as the WF7xx SeriesTM Wafer Inspection System available from Applied Materials of Santa Clara, CA., and a list of the tool identifiers is generated from data retrieved from the MES, along with a defect map, and displayed at the inspection tool.
  • the user may then request that the set of process parameters, including tool parameters (e.g., preventive maintenance conditions), for any of the tools identified on the list be retrieved from the MES and displayed at the inspection tool.
  • tool parameters e.g., preventive maintenance conditions
  • the user is automatically provided with a list of the tools visited by the wafer and has easy access to the process parameters used at each of those tools.
  • This information along with the defect map (and other data gathered from defect review procedures, if performed), facilitates tracing the causes of defects to their source, such as to a particular process step or to a particular piece of processing equipment.
  • the process of tracing the causes of defects to their source is further automated. Suspected defect sites are reviewed, identified and classified, either at the inspection tool or at a stand-alone review machine. Then the classes of defects are correlated with the parameters of particular tools on the list of tools visited by the wafer. An alarm is generated if a total number of defects in a specific one of the defect classes is about equal to or greater than a predetermined number for the specific defect class, and the tool identifier associated with the specific one of the defect classes is displayed at the inspection tool, thereby pointing out a faulty tool that is a probable cause of the defects.
  • FIG. 1-4 An embodiment of the present invention is illustrated in Fig. 1-4.
  • the present invention is implemented at an inspection tool 300, as shown in Fig. 3, comprising an imager 310 for imaging the surface of a wafer at high speed, typically using a photo multiplier (PMT) or CCD and an illumination source such as a lamp or a laser.
  • Inspection tool 300 further comprises a processor 320, which preferably performs the analysis disclosed herein electronically, and a monitor 330 for displaying results of the analyses of processor 320.
  • Processor 320 is in communication with a conventional MES 340, a conventional YMS 380 and, in one embodiment of the invention described below, a review station 350.
  • a semiconductor wafer W is processed to have a plurality of patterned integrated circuit dies 1000.
  • wafer W is initially subjected to a processing step at a processing tool at step 200 (Fig. 2), then a tool identifier unique to the processing tool is stored in MES 340 (Fig. 3) along with a set of process parameters used at the tool (see step 205).
  • MES 340 Fig. 3
  • wafer W is brought to inspection tool 300 and imaged by imager 310 (see step 215).
  • a conventional defect map 400 is then produced at step 220 by processor 320 using statistical methods, typically involving algorithms and/or grey-scale analysis, to identify suspected locations 401 on wafer W having a high probability of having a defect.
  • a list of tool identifiers associated with tools visited by wafer W is generated by processor 320 retrieving the tool identifier data stored in MES 340 (see step 235) and the list is displayed at inspection tool 300 at monitor 330, along with defect map 400 (see step 240).
  • the list of tool identifiers can be displayed on monitor 330 as a list of tool names, such as "Etcher 5" or "Cleaning Station 2". Alternatively, the list may be displayed graphically, with icons representing different tool types.
  • the list may be generated and displayed automatically after inspection (i.e., with no intervention by the user) or only when requested by the user.
  • processor 320 determines a defect level for wafer W in a conventional manner, typically based on the number of suspected defect locations 401 and/or the density of suspected defect locations 401, and compares the defect level with a predetermined defect level that represents the highest acceptable defect level. If the defect level is lower than the predetermined defect level, processing of wafer W continues at step 230. However, if the defect level is about equal to or greater than the predetermined defect level, the list of tool identifiers associated with tools visited by wafer W is retrieved from MES 340, and processing continues at step 235.
  • the user can select one or more of the tools on the list of tool identifiers, such as by moving a cursor to the appropriate tool name or icon, and obtain a display of the set of process parameters, which can include tool parameters, retrieved at step 245 from MES 340 by processor 320 and displayed on monitor 330 at step 250.
  • the user can more readily identify a probable cause of defects at the time the defects are discovered. Additionally, this information can be fed to YMS 380 for further statistical analysis off-line.
  • defects are examined and classified, and the defect classes are correlated with the tools visited by wafer W.
  • suspected defect locations 401 are reviewed to identify actual defects, each of the defects is examined and classified, and the defect classes are correlated with the tools visited by wafer W.
  • a redetection procedure is carried out; e.g., after step 240, at each suspected defect location 401 to determine the exact location of the defects.
  • a conventional CCD imager such as imager 310, or a conventional stand-alone SEM review station 350 (employing a processor 370 and a SEM 360, such as SEMVisionTM available from Applied Materials of Santa Clara, CA), is used to image a pattern at a suspected defect location 401 and a reference pattern at a corresponding location on an adjacent or other die on the same wafer which is not suspected of having a defect (see step 500).
  • a suspected defect image is then compared to a corresponding reference pattern at step 505 by processor 320 (if the imaging is performed by imager 310) or by processor 370 (if the imaging is performed by SEM 360). If a difference is found between the suspected defective pattern and the reference pattern, the suspected defective pattern is determined to be a defect by processor 320 or processor 370 at step 510.
  • a more detailed review procedure is thereafter carried out on the individual defect sites, such as imaging with imager 310 or scanning with SEM 360 to produce a relatively high-resolution image, which is then analyzed at step 515 to determine the nature of the defect (e.g., a defective pattern, at particle, or a scratch) and to classify the defect accordingly.
  • the analysis and classification of the defects can be carried out, as by one of processors 320 and 370, using conventional pattern recognition techniques or using an automated technique as described in copending U.S. patent application Serial No. 09/111,454 (Attorney Docket No. 49959-013), filed July 8, 1998, entitled “Automatic Defect Classification With Invariant Core Classes", the entire disclosure of which is hereby incorporated by reference.
  • defects are classified, at step 500a, while the wafer is being inspected and defect map 400 is being made (e.g., after step 220).
  • This technique known as "On-The-Fly" Automatic Defect Classification, employs a modified version of inspection tool 300; for example, the WF-736 DUO wafer inspection tool available from Applied Materials of Santo Clara, CA, whose operation is based on the fact that different defect types have different optical scattering signatures, which signatures are registered at strategically positioned multiple detectors (e.g., photo multipliers) as they scan the wafer.
  • On-The-Fly Automatic Defect Classification is described in an article entitled "Enhanced Yield Potential Using 'On-the-fly' Automatic Defect Classification", by Reinhold Ott and Andy Skumanich, [publication name, date and pages], the entire disclosure of which is herein incorporation by reference. Since this technique enables the acquisition of important defect information while the inspection is being performed, defect classification is carried out without a loss in production throughput.
  • the tools on the list of tool identifiers are correlated to the defect classes by processor 320, based upon the user's experiential knowledge of the causes of particular classes of defects. For example, if wafer W visited a chemical-mechanical polishing (CMP) machine, defects classified as scratches may be correlated with the particular CMP machine wafer W visited, based on the user's past observations that CMP machines commonly cause scratch defects.
  • CMP chemical-mechanical polishing
  • Processor 320 or processor 370 preferably counts the number of defects in each class of defects (see step 525). It is determined at step 530, as by processor 320 or processor 370, whether the number of defects in one of the classes exceeds a predetermined amount reflecting the maximum allowable number of defects in that particular class, referred to as a "class alarm level". If the class alarm level is not reached, the next class is so analyzed (see step 535). If the number of defects in any one of the classes exceeds the predetermined class alarm level for that class, an alarm signal is generated, as by processor 320, and monitor 320 displays the tool identifier associated with the specific defect class, thereby indicating to the user a possibly faulty tool.
  • FIG. 6 is a block diagram that illustrates an embodiment of the invention shown in Fig. 3.
  • processor 320 includes a bus 602 or other communication mechanism for communicating information, and a central processing unit (CPU) 604 coupled with bus 602 for processing information.
  • Processor 320 also includes a main memory 606, such as a random access memory (RAM) or other dynamic storage device, coupled to bus 602 for storing information and instructions to be executed by CPU 604.
  • Main memory 606 also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by CPU 604.
  • Processor 320 further includes a read only memory (ROM) 608 or other static storage device coupled to bus 602 for storing static information and instructions for CPU 604.
  • ROM read only memory
  • a storage device 610 such as a magnetic disk or optical disk, is provided and coupled to bus 602 for storing information and instructions.
  • Processor 320 is coupled, as via bus 602, to monitor 330 (Fig. 3), such as a cathode ray tube (CRT), for displaying information to the user.
  • monitor 330 Fig. 3
  • An input device 614 is coupled to bus 602 for communicating information and command selections to CPU 604.
  • cursor control 616 is Another type of user input device, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to CPU 604 and for controlling cursor movement on monitor 330.
  • Imager 310 (Fig. 3) inputs data representative of images of a semiconductor wafer under inspection, as discussed above, to bus 602. Such data may be stored in main memory 606 and/or storage device 610, and used by CPU 604 as it executes instructions. Imager 310 may also receive instructions via bus 602 from CPU 604.
  • the invention is related to the use of processor 320 for inspecting the surface of a semiconductor wafer.
  • inspection of the surface of a semiconductor wafer is provided by processor 320 in response to CPU 604 executing one or more sequences of one or more instructions contained in main memory 606.
  • Such instructions may be read into main memory 606 from another computer-readable medium, such as storage device 610.
  • Execution of the sequences of instructions contained in main memory 606 causes CPU 604 to perform the process steps described above.
  • processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory 606.
  • hard-wired circuitry may be used in place of or in combination with software instructions to implement the invention.
  • embodiments of the invention are not limited to any specific combination of hardware circuitry and software.
  • the programming of the apparatus is readily accomplished by one of ordinary skill in the art provided with the flow charts of Fig. 2 and Fig. 5.
  • Non-volatile media include, for example, optical or magnetic disks, such as storage device 610.
  • Volatile media include dynamic memory, such as main memory 606.
  • Transmission media include coaxial cable, copper wire and fiber optics, including the wires that comprise bus 602. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • RF radio frequency
  • IR infrared
  • Computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, or any other medium from which a computer can read.
  • Various forms of computer-readable media may be involved in carrying out one or more sequences of one or more instructions to CPU 604 for execution.
  • the instructions may initially be borne on a magnetic disk of a remote computer.
  • the remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem.
  • a modem local to processor 320 can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal.
  • An infrared detector coupled to bus 602 can receive the data carried in the infrared signal and place the data on bus 602.
  • Bus 602 carries the data to main memory 606, from which CPU 604 retrieves and executes the instructions.
  • the instructions received by main memory 606 may optionally be stored on storage device 610 either before or after execution by CPU 604.
  • the inventive semiconductor wafer inspection technique provides real-time information identifying the tools visited by wafers under inspection and the process parameters including tool parameters used at those tools, thereby facilitating investigation of the causes of defects and enabling effective corrective action to be implemented at the time the defects are discovered.
  • the present invention contributes to the maintenance of high production throughput.
  • the inventive methodology enables early identification of a faulty tool.
  • the present invention is applicable to the inspection of any semiconductor wafer, and is especially useful for in-process inspection of semiconductor wafers during manufacture of high density semiconductor devices with submicron design features.
EP00306142A 1999-07-21 2000-07-19 Procédé d'identification en temps réel de sources de défauts sur des substrats semiconducteurs Withdrawn EP1071128A3 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US358512 1999-07-21
US09/358,512 US6763130B1 (en) 1999-07-21 1999-07-21 Real time defect source identification

Publications (2)

Publication Number Publication Date
EP1071128A2 true EP1071128A2 (fr) 2001-01-24
EP1071128A3 EP1071128A3 (fr) 2008-06-25

Family

ID=23409966

Family Applications (1)

Application Number Title Priority Date Filing Date
EP00306142A Withdrawn EP1071128A3 (fr) 1999-07-21 2000-07-19 Procédé d'identification en temps réel de sources de défauts sur des substrats semiconducteurs

Country Status (5)

Country Link
US (1) US6763130B1 (fr)
EP (1) EP1071128A3 (fr)
JP (1) JP2001085491A (fr)
KR (1) KR100742425B1 (fr)
TW (1) TW484197B (fr)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6633831B2 (en) 2000-09-20 2003-10-14 Kla Tencor Technologies Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US7454312B2 (en) 2006-03-15 2008-11-18 Applied Materials, Inc. Tool health information monitoring and tool performance analysis in semiconductor processing
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7751046B2 (en) 2000-09-20 2010-07-06 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US7966087B2 (en) 2002-11-15 2011-06-21 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US8005634B2 (en) 2002-03-22 2011-08-23 Applied Materials, Inc. Copper wiring module control
US8070909B2 (en) 2001-06-19 2011-12-06 Applied Materials, Inc. Feedback control of chemical mechanical polishing device providing manipulation of removal rate profiles
EP2447889A1 (fr) * 2010-10-29 2012-05-02 Siemens Aktiengesellschaft Procédé pour la modélisation d'une gestion de défauts dans un procédé de fabrication et pour traiter le défaut pendant le procédé de fabrication basé sur ladite gestion de défauts
US8504620B2 (en) 2000-11-30 2013-08-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems
CN109840856A (zh) * 2017-11-27 2019-06-04 欧姆龙株式会社 管理系统、管理装置、管理方法及计算机可读记录介质

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09320505A (ja) * 1996-03-29 1997-12-12 Hitachi Ltd 電子線式検査方法及びその装置並びに半導体の製造方法及びその製造ライン
JP4206192B2 (ja) * 2000-11-09 2009-01-07 株式会社日立製作所 パターン検査方法及び装置
US20030135295A1 (en) * 2002-01-14 2003-07-17 Applied Materials, Inc. Defect source identifier with static manufacturing execution system
US6850811B1 (en) * 2002-02-28 2005-02-01 Advanced Micro Devices, Inc. Analyzing error signals based on fault detection
KR20040040737A (ko) * 2002-11-07 2004-05-13 삼성전자주식회사 반도체 웨이퍼 검사 방법 및 장치
US8359494B2 (en) * 2002-12-18 2013-01-22 Globalfoundries Inc. Parallel fault detection
US6993404B2 (en) * 2003-07-11 2006-01-31 Mks Instruments, Inc. Graphical user interface with process quality indicator
KR100546796B1 (ko) 2003-07-21 2006-01-25 동부아남반도체 주식회사 두께와 광학 이미지의 라이브러리를 이용한 절연막검사방법
US20050075841A1 (en) * 2003-08-05 2005-04-07 Netanel Peles Automated defect classification system and method
TWI280603B (en) 2003-09-08 2007-05-01 Toshiba Corp Manufacturing system of semiconductor device and manufacturing method of semiconductor device
JP4105617B2 (ja) * 2003-09-19 2008-06-25 大日本スクリーン製造株式会社 基板処理装置
US6957116B2 (en) * 2003-10-03 2005-10-18 Taiwan Semiconductor Manufcturing Co., Ltd. Quality assurance system and method
US6980873B2 (en) * 2004-04-23 2005-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for real-time fault detection, classification, and correction in a semiconductor manufacturing environment
TWI231557B (en) * 2004-05-10 2005-04-21 Powerchip Semiconductor Corp Method of defect inspection
US7412090B2 (en) * 2004-09-09 2008-08-12 Powerchip Semiconductor Corp. Method of managing wafer defects
US7263451B1 (en) * 2004-10-25 2007-08-28 Advanced Micro Devices, Inc. Method and apparatus for correlating semiconductor process data with known prior process data
CN100388451C (zh) * 2004-11-02 2008-05-14 力晶半导体股份有限公司 缺陷检测方法
US20060258023A1 (en) * 2005-05-10 2006-11-16 Lsi Logic Corporation Method and system for improving integrated circuit manufacturing yield
DE102005027120A1 (de) * 2005-06-10 2006-12-14 Leica Microsystems Semiconductor Gmbh Verfahren zur Inspektion von Halbleiterwafern unter Berücksichtigung des Saw-Designs
US20060293870A1 (en) * 2005-06-10 2006-12-28 Rassi Andrew T Method and system for tracking quality events
KR100909474B1 (ko) * 2005-08-10 2009-07-28 삼성전자주식회사 웨이퍼 결함지수를 사용하여 국부성 불량 모드를 갖는결함성 반도체 웨이퍼의 검출 방법들 및 이에 사용되는장비들
WO2007064331A1 (fr) * 2005-12-02 2007-06-07 United Technologies Corporation Système et procédé permettant d'optimiser la durée de vie d'un composant de transmission et la puissance de transmission
US7391510B2 (en) * 2006-01-26 2008-06-24 Orbotech Ltd System and method for inspecting patterned devices having microscopic conductors
KR100761851B1 (ko) 2006-06-30 2007-09-28 삼성전자주식회사 실시간으로 최적화되는 반도체 소자의 전기적 검사를 위한 컴퓨터로 실행 가능한 저장매체 및 그 적용방법
JP4952371B2 (ja) * 2007-05-22 2012-06-13 凸版印刷株式会社 カラーフィルタ生産における膜キズ自動発生防止方法及びその方法を用いた膜キズ自動発生防止システム
JP2010056367A (ja) * 2008-08-29 2010-03-11 Panasonic Corp 半導体製造装置
US20120050522A1 (en) * 2010-08-24 2012-03-01 Research In Motion Limited Method of and apparatus for verifying assembly components of a mobile device
US10043264B2 (en) 2012-04-19 2018-08-07 Applied Materials Israel Ltd. Integration of automatic and manual defect classification
US9715723B2 (en) 2012-04-19 2017-07-25 Applied Materials Israel Ltd Optimization of unknown defect rejection for automatic defect classification
US9607233B2 (en) 2012-04-20 2017-03-28 Applied Materials Israel Ltd. Classifier readiness and maintenance in automatic defect classification
KR101579448B1 (ko) 2013-05-30 2015-12-23 삼성에스디에스 주식회사 불량 샘플의 결함 맵을 이용한 문제 설비 판정 방법 및 그 장치
KR101535419B1 (ko) 2013-05-31 2015-07-09 삼성에스디에스 주식회사 불량 셀 클러스터링 방법 및 그 장치
US10114368B2 (en) * 2013-07-22 2018-10-30 Applied Materials Israel Ltd. Closed-loop automatic defect inspection and classification
CN106531657A (zh) * 2017-01-13 2017-03-22 京隆科技(苏州)有限公司 无墨点晶圆外观检查方法及其检查系统
CN110998463B (zh) * 2017-01-18 2023-08-25 Asml荷兰有限公司 用于缺陷检查的知识推荐的服务器和方法
US10824137B2 (en) * 2017-06-19 2020-11-03 Panasonic Intellectual Property Management Co., Ltd. Mounting board manufacturing system
US10921334B2 (en) * 2018-03-22 2021-02-16 Applied Materials Israel Ltd. System, method and computer program product for classifying defects
WO2020055555A1 (fr) 2018-09-12 2020-03-19 Applied Materials, Inc. Codeur automatique profond pour surveillance d'état d'équipement et détection de défaut dans des outils d'équipement de traitement d'affichage et de semi-conducteurs
CN109698139A (zh) * 2018-12-27 2019-04-30 上海华力微电子有限公司 晶圆缺陷光学照片实时采集系统及采集方法
US11176656B2 (en) * 2019-02-28 2021-11-16 Fei Company Artificial intelligence-enabled preparation end-pointing
CN113837983B (zh) * 2020-06-08 2023-09-15 长鑫存储技术有限公司 一种晶圆缺陷分析方法、系统、设备和介质
US11663548B2 (en) 2020-11-30 2023-05-30 Toyota Motor Engineering & Manufacturing North America, Inc. System and method for rapid defect entry

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5274434A (en) * 1990-04-02 1993-12-28 Hitachi, Ltd. Method and apparatus for inspecting foreign particles on real time basis in semiconductor mass production line
JPH10173021A (ja) * 1996-12-12 1998-06-26 Mitsubishi Electric Corp 製造ライン解析方法及び製造ライン解析装置
US5801965A (en) * 1993-12-28 1998-09-01 Hitachi, Ltd. Method and system for manufacturing semiconductor devices, and method and system for inspecting semiconductor devices
US5886896A (en) * 1996-11-19 1999-03-23 Advanced Micro Devices, Inc. Method and apparatus for integrated control of a sensor in a manufacturing processing station

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4519041A (en) * 1982-05-03 1985-05-21 Honeywell Inc. Real time automated inspection
US4581762A (en) * 1984-01-19 1986-04-08 Itran Corporation Vision inspection system
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
IL99823A0 (en) * 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
US5971586A (en) * 1995-04-21 1999-10-26 Sony Corporation Identifying causes of semiconductor production yield loss
US5649169A (en) * 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5539752A (en) 1995-06-30 1996-07-23 Advanced Micro Devices, Inc. Method and system for automated analysis of semiconductor defect data
US5814829A (en) * 1995-07-11 1998-09-29 Qc Optics, Inc. Multistation surface inspection system
US5777901A (en) * 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5943437A (en) 1995-10-09 1999-08-24 Kabushiki Kaisha Kobe Seiko Sho Method and apparatus for classifying a defect on a semiconductor wafer
EP1909318A3 (fr) 1996-03-19 2009-12-09 Hitachi, Ltd. Système de gestion de processus
JPH09320505A (ja) * 1996-03-29 1997-12-12 Hitachi Ltd 電子線式検査方法及びその装置並びに半導体の製造方法及びその製造ライン
KR19980021213A (ko) * 1996-09-14 1998-06-25 김광호 반도체 웨이퍼 상의 결함 검사방법
US6259960B1 (en) * 1996-11-01 2001-07-10 Joel Ltd. Part-inspecting system
JP2991138B2 (ja) * 1996-12-09 1999-12-20 日本電気株式会社 メモリlsiの不良解析方法
US5910011A (en) * 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
JP3324447B2 (ja) * 1997-05-27 2002-09-17 松下電器産業株式会社 歩留阻害要因推定方法
US5847821A (en) * 1997-07-10 1998-12-08 Advanced Micro Devices, Inc. Use of fiducial marks for improved blank wafer defect review
US5966459A (en) * 1997-07-17 1999-10-12 Advanced Micro Devices, Inc. Automatic defect classification (ADC) reclassification engine
US6185511B1 (en) * 1997-11-28 2001-02-06 Advanced Micro Devices, Inc. Method to accurately determine classification codes for defects during semiconductor manufacturing
US6408220B1 (en) * 1999-06-01 2002-06-18 Applied Materials, Inc. Semiconductor processing techniques
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
US6427093B1 (en) * 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5274434A (en) * 1990-04-02 1993-12-28 Hitachi, Ltd. Method and apparatus for inspecting foreign particles on real time basis in semiconductor mass production line
US5801965A (en) * 1993-12-28 1998-09-01 Hitachi, Ltd. Method and system for manufacturing semiconductor devices, and method and system for inspecting semiconductor devices
US5886896A (en) * 1996-11-19 1999-03-23 Advanced Micro Devices, Inc. Method and apparatus for integrated control of a sensor in a manufacturing processing station
JPH10173021A (ja) * 1996-12-12 1998-06-26 Mitsubishi Electric Corp 製造ライン解析方法及び製造ライン解析装置

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US8179530B2 (en) 2000-09-20 2012-05-15 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US7751046B2 (en) 2000-09-20 2010-07-06 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6806951B2 (en) 2000-09-20 2004-10-19 Kla-Tencor Technologies Corp. Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6818459B2 (en) 2000-09-20 2004-11-16 Kla-Tencor Technologies Corp. Methods and systems for determining a presence of macro defects and overlay of a specimen
US6829559B2 (en) 2000-09-20 2004-12-07 K.L.A.-Tencor Technologies Methods and systems for determining a presence of macro and micro defects on a specimen
US8502979B2 (en) 2000-09-20 2013-08-06 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6633831B2 (en) 2000-09-20 2003-10-14 Kla Tencor Technologies Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US8504620B2 (en) 2000-11-30 2013-08-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems
US8070909B2 (en) 2001-06-19 2011-12-06 Applied Materials, Inc. Feedback control of chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US8005634B2 (en) 2002-03-22 2011-08-23 Applied Materials, Inc. Copper wiring module control
US7966087B2 (en) 2002-11-15 2011-06-21 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7454312B2 (en) 2006-03-15 2008-11-18 Applied Materials, Inc. Tool health information monitoring and tool performance analysis in semiconductor processing
US7630858B1 (en) 2006-03-15 2009-12-08 Applied Materials, Inc. Tool health information monitoring and tool performance analysis in semiconductor processing
EP2447889A1 (fr) * 2010-10-29 2012-05-02 Siemens Aktiengesellschaft Procédé pour la modélisation d'une gestion de défauts dans un procédé de fabrication et pour traiter le défaut pendant le procédé de fabrication basé sur ladite gestion de défauts
CN109840856B (zh) * 2017-11-27 2023-11-14 欧姆龙株式会社 管理系统、管理装置、管理方法及计算机可读记录介质
CN109840856A (zh) * 2017-11-27 2019-06-04 欧姆龙株式会社 管理系统、管理装置、管理方法及计算机可读记录介质
EP3499330A1 (fr) * 2017-11-27 2019-06-19 Omron Corporation Système de gestion, dispositif de gestion, procédé de gestion et programme

Also Published As

Publication number Publication date
KR100742425B1 (ko) 2007-07-24
JP2001085491A (ja) 2001-03-30
EP1071128A3 (fr) 2008-06-25
US6763130B1 (en) 2004-07-13
TW484197B (en) 2002-04-21
KR20010029984A (ko) 2001-04-16

Similar Documents

Publication Publication Date Title
US6763130B1 (en) Real time defect source identification
US6466895B1 (en) Defect reference system automatic pattern classification
US6922482B1 (en) Hybrid invariant adaptive automatic defect classification
US6556955B2 (en) Method of determining lethality of defects in circuit pattern inspection, method of selecting defects to be reviewed, and inspection system of circuit patterns involved with the methods
US6987873B1 (en) Automatic defect classification with invariant core classes
US7113628B1 (en) Defect image classifying method and apparatus and a semiconductor device manufacturing process based on the method and apparatus
US5982920A (en) Automated defect spatial signature analysis for semiconductor manufacturing process
US8799831B2 (en) Inline defect analysis for sampling and SPC
US7205555B2 (en) Defect inspection apparatus and defect inspection method
US6496958B1 (en) Yield prediction and statistical process control using predicted defect related yield loss
US7593564B2 (en) Method and apparatus for reviewing defect of subject to be inspected
US20080298670A1 (en) Method and its apparatus for reviewing defects
US10970834B2 (en) Defect discovery using electron beam inspection and deep learning with real-time intelligence to reduce nuisance
US20200334446A1 (en) Methods and systems for defect inspection and review
KR20080065584A (ko) 검사 레시피의 확립을 위한 방법 및 시스템
JP2003240731A (ja) 欠陥検査方法及びその装置
US10557802B2 (en) Capture of repeater defects on a semiconductor wafer
US7375829B2 (en) Method for inspecting an insulator with a library of optic images
WO2021022100A1 (fr) Procédé de surveillance de processus à l'aide d'inspections optiques
Schwart et al. Process and machine mastering employing WF-710 wafer inspection system
Pilon et al. Intelligent line monitor: maximum productivity through an integrated and automated line monitoring strategy
Campbell et al. In-Line Defectivity Methodology for a GaAs Manufacturing Facility

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE

AX Request for extension of the european patent

Free format text: AL;LT;LV;MK;RO;SI

PUAL Search report despatched

Free format text: ORIGINAL CODE: 0009013

AK Designated contracting states

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE

AX Request for extension of the european patent

Extension state: AL LT LV MK RO SI

RIC1 Information provided on ipc code assigned before grant

Ipc: H01L 21/66 20060101ALI20080519BHEP

Ipc: G05B 23/02 20060101AFI20080519BHEP

AKX Designation fees paid
REG Reference to a national code

Ref country code: DE

Ref legal event code: 8566

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20081230