DE3220153A1 - Testmusterumschalteinrichtung fuer einen quasizufallsgenerator mit multiplexsignalbildung - Google Patents

Testmusterumschalteinrichtung fuer einen quasizufallsgenerator mit multiplexsignalbildung

Info

Publication number
DE3220153A1
DE3220153A1 DE19823220153 DE3220153A DE3220153A1 DE 3220153 A1 DE3220153 A1 DE 3220153A1 DE 19823220153 DE19823220153 DE 19823220153 DE 3220153 A DE3220153 A DE 3220153A DE 3220153 A1 DE3220153 A1 DE 3220153A1
Authority
DE
Germany
Prior art keywords
flip
flop
signal
quasi
shift register
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE19823220153
Other languages
English (en)
Inventor
Erwin Ing.(grad.) 1000 Berlin Lehmann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LEHMANN, ERWIN, DIPL.-ING., 1000 BERLIN, DE
Original Assignee
Deutsche Telekom AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Deutsche Telekom AG filed Critical Deutsche Telekom AG
Priority to DE19823220153 priority Critical patent/DE3220153A1/de
Publication of DE3220153A1 publication Critical patent/DE3220153A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/84Generating pulses having a predetermined statistical distribution of a parameter, e.g. random pulse generators

Landscapes

  • Time-Division Multiplex Systems (AREA)

Description

  • Die Erfindung betrifft eine Testmusterumschalteinrich-
  • tung für einen Quasizufallsgenerator, der ein Digitalsignal sehr hoher Bitrate - bis etwa 600 Mbit/s - erzeugt und diese Bitrate dadurch erreicht, daß vier gegeneinander in der Taktphase verschobene Bitfolgen mit einem Multiplexer zusammengefaßt werden, wobei das Schieberegister, das diese vier Bitfolgen erzeugt, nur mit einem Viertel der Sendebitrate arbeitet. Das Prinzip einer solchen Anordnung geht aus der Petentschrift P 27 24 110 hervor. Darin wird erläutert, daß es möglich ist, eine von einem rückgekoppelten Schieberegister erzeugte Quasizufallsfolge (QZF) maximaler Zykluslänge durch weitere Verknüpfung passender Flipflopstufen des Schieberegisters so in der Taktphase zu verschieben, daß aus den gegeneinander verschobenen Folgen mit Hilfe eines Nultiplexers eine gleichartige QZF mit einem Vielfachen der ursprünglichen Bitrate erzeugt werden kann. Dieses Prinzip wird bei sehr hohen Bitraten angewandt, wenn das Schaltvermögen der im Schieberegister enthaltenen Flipflops nicht mehr ausreicht, um die benötigte QZF unmittelbar zu erzeugen, wohl aber ein schneller Multiplexer realisiert werden kann.
  • QZF derart hoher Bitraten werden für Bitfehlermessungen an hochratigen PCM-Systemen benötigt.
  • Bekannt ist ein umschaltbares Bitfehlermeßgerät für maximal 150 Mbit/s der Firma Hewlett Packard (Data Generator 3762A und Error Detector 5762A), bei dem zwei Bitatrörne einer Bitrate von maximal 75 Nbit/s mit einem Multiplexer zusammengefaßt werden. Bei diesem Gerät ist der Schaltungsaufwand für die musterabhängige Verzögerung des zweiten Bitstroms sehr groß, was bei einer Vierfach-Nultiplextechnik noch stärker ins Gewicht fiele. Zum anderen wird mit der gewählten Schalttechnik (viele wired-0R-Verknüpfungen) das Scheltvermögen der verwendeten Bausteine nicht voll ausgeschöpft.
  • Der Erfindung liegt nun die Aufgabe zugrunde, eine Testmusterumschalteinrichtung nach dem eingangs beschriebenem Prinzip einschließlich der musterabhängigen Taktphasenverzögerungsschaltung mit möglichst geringem Aufwand so aufzubauen, daß eine möglichst hohe Bitrate erreicht wird.
  • Diese Aufgabe wird nach der Erfindung bei einer Testmusterumschalteinrichtung für einen Quasizufalisgenerator mit Multiplexaignalbildung nach dem eingangs erwähnten Prinzip dadurch gelöst, daß der Rückkopplungsumschalter aus inte-.
  • grierten e mittergekoppelten Parallelschieberegisterbausteinen besteht und daß der Taktphasenumschalter ein integrierter e mittergekoppelter Dreifach-4-zu-1-Multiplexerbaustein ist.
  • Um eine hohe maximale Bitrate der Gesamtschaltung erreichen zu können, wird nach weiteren Ausbildungen der Erfindung vorgesehen, daß zwischen zwei Flipflopstufen jeweils nur ein Verirnüpfungs-Glied-bzw. Multiplexer-Durchlauf erfolgt und Jede von einem Baustein ausgehende Verbindungsleitung nur zu jeweils einem weiteren Baustein führt.
  • Die mit der Erfindung erzielbaren Vorteile bestehen insbesondere darin, daß der Schaltungsaufwand für das umschaltbare Schieberegister klein wird, weil für die Muster- und Rückkopplungsumschaltung keine zusätzlichen Verknüpfungsglieder nötig sind, sondern die Steuereigenschaften der ohnehin erforderlichen Parallelschieberegisterbausteine mitbenutzt werden. Wegen des Fehlens zusätzlicher Verknüpfungssignallaufzeiten kann die Schaltung mit einer hohen Bitrate arbeiten und ist wegen des Einsatzes integrierter Bausteine -platzsparend. Ebenfalls platzsparend wirkt der Einsatz des Dreifach-4-zu-1-Multiplexerbausteins als Taktphasenumschalter.
  • Die im Unteranspruch vorgesehene Schaltungsausführung hat den weiteren Vorteil, daß auch der Schaltungsteil zur Erzeugung der taktphasenverschobenen Bitfolgen mit hoher Bitrate betrieben werden-kann; zum einen wird eine Summierung von Verknüpfungsglied- und Multiplexerdurchlaufzeiten vermieden, zum anderen wird durch die Verdrahtungstechnik des Unteranspruchs die Ausführung des als Leitungsabschluß nötigen Widerstandsnetzwerkes vereinfacht und damit die Signalform verbessert. Trotz dieser Vorteile entsteht kein Mehraufwand an integrierten Bausteinen, weil der Mehrbedarf an D-Flipflops durch einen Minderbedarf an EXOR-Gliedern ausgeglichen wird.
  • Ein Ausführungsbeispiel der Erfindung ist in den Zeichnungen dargestellt und wird im folgenden näher beschrieben. Es zeigen Fig. 1 ein rückgekoppeltes Schieberegister, Fig. 2 eine Tabelle zur Erläuterung der Rückkopplung, Fig. 3 ein rückgekoppeltes, umschaltbares Schieberegister, Fig. 4 eine Tabelle zur Erläuterung der Musterumschaltung, Fig. 5 eine umschaltbare Taktphasenverzögerungsschaltung, Fig. 6 eine Schaltungsausführung nach dem Prinzip des Unteranspruchs und Fig. 7 einen Flipflopnummernplan zur Erläuterung der Schaltungsausführung.
  • Das in Fig. 1 dargestellte 9-stufige Schieberegister besteht aus einem integrierten emittergekoppelten 8-stufigen Schieberegister 100 141 aus der handelsüblichen ECL-100k-Serie (z. B.
  • der Firma Fairchild) und einem D-Flipflop des ECl-Bausteins 100 151 der gleichen Serie, der insgesamt 6 Flipflops enthält.
  • Die bekannte und allgemein angewandte Rückkopplung zur Erzeugung einer QZF maximaler Zykluslänge (hier: 2 - 1 = 511 bit) besteht .darin, den Ausgang der letzten Stufe (hier der 9.
  • Stufe, entsprechend Ausgang Q9) mit einem zweckmäßigenweiteren Flipflopausgang (hier der 5. Stufe, entsprechend Ausgang Q5) über ein EXOR-Glied zu verknüpfen und das Ergebnis dem Eingang des ersten Flipflops (hier D1 oder P1) als Rückkopplungssignal zuzuführen. Dieses Rückkopplungssignal nimmt den ert H = HiGh) an, wenn die Ausgänge Q9 und Q5 entgegengesetzte Signale haben, und es hat den Wert L (= Low), wenn die Ausgänge Q9 und Q5 gleiche Signale (beide H oder beide L) haben. Die erfindungsgemäße Verknüpfungsart, die in Fig. 1 am Beispiel des 9-stufigen rückgekoppelten Schieberegisters dargestellt ist, kost ohne das EXOR-Glied der bekannten Lösung aus, weil durch Umsteuerung des Bausteins 100 141 von schieben auf "laden" oder umgekehrt die gewünschte Funktion unter Verwendung der ohnehin vorhandenen internen Verknüpfungen des Schieberegisterbausteins, d.h. mit weniger Aufwand erreicht wird. Dazu sind der Steuereingang SO mit dem Flipflop ausgang Q5, der Daten-Serieneingang Dlmit dem negierten Flipflopausgang Q9 und der Daten-Paralleleingang Pl mit dem Flipflopausgang Q9 verbunden. Damit auch im Zustand Register laden" die Schiebefunktion des Bausteins erhalten bleibt, werden extern die Ausgänge der Flipflops mit dem Paralleleingang des jeweils folgenden Flipflops verbunden, also Q1 mit P2, Q2 mit P3 usw.
  • bis Q7 mit P8. An den Steuereingang S1 ist das Anlaufsignal AS gefihrt, das im Betriebszustand Low ist.
  • Die Arbeitsweise des Schieberegisterbausteins geht aus der Tabelle der Fig. 2 hervor. Die ersten beiden Spalten geben die vier möglichen Schaltzustände der Steuer-Bits SO und S1 an. Die dritte Spalte gibt die Betriebsart des Schieberegisters an, die sich aus der Steuer-Bit-Kombination ergibt. Die vierte und fünfte Spalte zeigen die Signale an den Eingängen D1 und Pl zur Zeit T. Die sechste Spalte zeigt das Verknüpfungsergebnis, das eine Taktperiode später (T + 1) am Ausgang Q1 erscheint und das sich aus der EXOR-Verknüpfung der Signale der ersten Spalte (so = Q5) und der fünften Spalte (Pl = Q9) ergibt.
  • Um die EXOR-Funktion prüfen zu können, betrashte man die ersten beiden Zeilen der Tabelle, bei denen das 51-Signal L ist. Sie zeigen die Betriebszustände "Register laden" und "links schieben", die sich entsprechend dem Zustand des Flipflopausgangs Q5 = SO abwechseln. Hat Q5 = SO den Zustand L, so wird die erste Stufe des Schieberegisterbausteins über den Paralleleingang P1 mit dem Signal Q9 geladen, so daß sich in der sechsten Spalte je nach Art des Signals Q9 des richtige Verknüpfungsergebnis Q9 @ Q5 ergibt, nämlich Ii II = H oder L @ L = L. Hat aber Q5 = SO den Zustand H (zweite Zeile: links schieben!), so wird in die erste Stufe des Schieberegisterbausteins über den Serieneingang D1 das Signal Q9 geschoben, so daß sich in der sechsten Spalte je nach Art des Signals Q9 (= Q9, negiert) das richtige Verknüpfungsergebnis Q9 # Q5 als H # L = H # H = L bzw. H # H = H # L = H ergibt. Damit ist bewiesen, daß auf ein externes EXOR-Glied verzichtet werden kann, und die erfindungsgemäße Lösung sparsamer ist. Die beiden letzten Zeilen der Tabelle, d.h. die Funktionen "rechts schieben" und "Inhalt halten", kommen als Betriebszustände nicht vor und können für den Anlauf des Schiebergisters verwendet werden. Genauso wie bei der bekannten Lösung mit externem EXOR-Glied muß verhindert werden, daß alle Flipflopstufen des Schieberegisters den Zustand L annehmen, weil die mXOR-Verknüpfung dann stets L @ L = L ergibt und das Register.
  • in diesem Zustand verharrt. Dieser verbotene Zustand kann sich nach dem Einschalten der ;Versorgungsspannung oder nach Netzausfällen einstellen. Es ist deshalb in jedem Fall eine hier nicht dargestellte Anlaufachaltung notwendig, die prüft, ob statt der gewünschten QZF ein Dauer-L-Signal erzeugt wird, und erforderlichenfalls ein Anlaufsignal abgibt. Beim Schieberegister der Fig. 1 wird ein Anlauf ausgelöst, wenn das Signal AS kurzzeitig auf H schaltet, so daß mit Q5 = L (es haben ja alle Q-Ausgänge L-Signal!) die Schieberegisterbetriebsart "rechts schieben" kurzzeitig vorliegt und mit H-Signal (Masse) an D8 mehrere H-Bits an die Ausgänge Q8, Q7, Q6 und Q5 gelangen, wodurch das Schieberegister nach Rückschaltung des Signals AS auf L im erlaubten Betriebszustand ist.
  • Ein Ausführungsbeispiel für ein höherstufiges und in Stufenzahl und Rückkopplungsanzapfung umschaltbares Schieberegister ist in Fig. 3 dargestellt. Als Stufenzahlen sind die vom CCITT vorgeschlagenen 11 bzw. 23 Stufen und zusätzlich die für h^chste Bitraten noch besser geeigneten 29 Stufen vorgesehen. Die zu den Stufenzahlen gehörenden Rückkopplungsanzapfungen sind 11/9, 23/9 und 29/27. Das abgebildete Schieberegister besteht aus 4 ECL-Bausteinen des bereits erwähnten Typs 100 141 und einem D-Flipflop des ebenfalls erwähnten Bausteins 100 151.
  • Der obenstehende erste Schieberegisterbaustein mit den Flipflops 1 bis 8 und das mit 29 bezeichnete Flipflop arbeiten nach dem gleichen Prinzip wie das in Fig. 1 und 2 dargestellte Schieberegister. Der Steuereingang SO ist jedoch mit dem Ausgang des Flipflops 27 verbunden. Der darunter abgebildete zweite Schieberegisterbaustein mit den Flipflops 9 bis 12 hat unbeschaltete Steuereingänge SO und S1. Offene Eingange bedeuten beiden eingesetzten ECL-Bausteinen L-Signal, so daß der Betriebszustand "Register laden" vorliegt. Der Eingang des Flipflop 9 (P9 des Bausteins) ist mit den Ausgang des Flipflops 8 (Q8 des ersten Bausteins) verbunden. Die Schiebefunktion des Registers für die Flipflops 9 bis 12 wird durch die Verbindungen Q9 mit P10, QIO mit P11 und Q11 mit P12 erreicht. Die letzten vier Flipflops des Bausteins werden nicht be-.
  • nötigt und können für andere Schaltaufgaben als D-Flipflop verwendet werden. Die weiter unten abgebildeten dritten und vierten Schieberegisterbausteine werden durch die Musterumschaltsignale ## und ## gesteuert.
  • Das Signal ## ist L bei der 11-stufigen QZF (QZF 11) und H bei QZF 23 und QZF 29. Das Signal ## ist H bei der QZF 29 und L bei QZF II und QZF 23. Am dritten Schieberegisterbaustein mit- den Flipflops 13 bis 20 ist der Steuereingang SO mit dem-Signal t beschaltet und SI offen. Dies bedeutet, daß das Register bei QZF II in der Betriebsart "Register laden und bei QZF 23 und QZF 29 in der Betriebsart "links schieben" arbeitet. In der Betriebsart "Register laden" sind die Flipflops 13 bis 19 unbenutzt und können als D-Flipflops anderweitig verwendet werden, der Eingang des Flipflops 20 (P 20 des Bausteins) ist mit dem Ausgang des Flipflops 7 verbunden und somit als 8. Flipflop in das Schieberegister einbezogen.
  • In der Betriebsart "links schieben" sind alle Flipflops 13 bis 20 in dieser Reihenfolge in das Gesamtschieberegister einbezogen; der Eingang des Bauztrlns ist D 13, der mit dem Ausgang des Flipflops 12 verbunden ist. Am vierten Schieberegisterbaustein milden Flipflops 21 bis 28 ist der Steuereingang SO mit dem Signal ## beschaltet und S1 offen. Dies bedeutet, daß das Register bei QZF 11 und QZF 23 in der Betriebsart "Register laden und bei QZF 29 in der Betriebsart "links schieben" arbeitet. In der Betriebsart "Register laden" sind die Flipflops 23 und 24 unbenutzt und können als D-Flipflops anderweitig verwendet werden; der Eingang des Flipflops 21 (P 21 des Bausteins) ist mit dem Ausgang des Flipflops 5 verbunden, durch die Verbindungen Q 21 mit P 22, Q 22 mit P 26 sowie Q 26 mit P 27 arbeiten die Flipflops 21, 22, 26 und 27 als hintereinanderliegende Stufen eines Schieberegisters, was auch für die Flipflops 25 und 28 gilt, die durch die Verbindung des Ausgangs von Flipflop 20 mit dem Eingang des Flipflops 25 (P 25 des Bausteins) und von Q 25 mit P 28 aneinandergereiht sind. Der Abgriff fürdie erzeugte QZF ist der Ausgang des Flipflops 4 (Q 4 des ersten Bausteins), es kommen aber auch andere Flipflopausgänge als Abgriff in Frage.
  • Die lwlirkungsweise der Musterumschalltung des 11-/23-/29-stufigen Schieberegisters ist aus der Tabelle der Fig. 4 zu entnehmen.
  • Das gewünschte Testmuster wird dadurch erzeugt, daß einigen der Flipflops 1 bis 29 die passende Wertigkeit gegeben wird. Da die mit V1 und V2 bezeichneten Signale der Flipflopausgänge 27 und 29 über eine EXOR-Verknüpfung an die Flipflopatufe 1 geführt werden, müssen die statischen Steuersignale t und bezirken, daß die Signale V1 und V? immer gleich den für die Schieberegisterrückkopplung notwendigen Signalen sind, d.h. bei QZF 11 sinngemäß den Flipflopausgängen 11 und 9, bei QZF 23 sinngemäß den Flipflopausgängen 23 und 9 sowie bei QZF 29 unverändert den Flipflopausgängen 29 und 27 entsprechen.
  • Alle 29 Flipflops sind nur bei QZF 29 in das Schieberegister einbezogen ( ## = H, ## = H). Bei QZF 23 ( ## = H, ## = L) haben die Flipflops 1 -bis 20 die angegebene Bedeutung. Da der. vierte Schieberegisterbaustein in der Betriebsart "Register laden" arbeitet, werden das Flipflop 25 sinngemäß 21, Flipflop 28 sinngemäß 22 und Flipflop 29 sinngemäß 23 (= V2); weiterhin werden Flipflop 21 sinngemäß 6, Flipflop 22 sinngemäß 7, Flipflop 26 sinngemäß 8 und Flipflop 27 sinngemäß 9 (= V1). Bei nZF 11 werden nur die Flipflops 1 bis 7 in der ursprunglichen Zählweise verwendet. Dadurch, daß der dritte und vierte Schieberegisterbaustein in der Betriebsart "Register laden" arbeiten, werden das Flipflop 20 sinngemaß 8 und damit die Flipflops 25, 28 und 29 sinngemäß 9, 10 und 11 (= V2). Wie bei QZF 23 werden die Flipflops 21, 22, 26 und 27 sinngemäß 5, 7, 8 und 9 (= V1). Als gemeinsamer Abgriff für den QZF-Ausgang kann eine Flipflopstufe gewählt werden, die in allen Umschaltfällen in jeweils aktiven Teil des Schieberegisters enthalten ist, zum Beispiel die Flipflopstufe 4, die auch bei der nachfolgend besvhriebenen Phasenerzeugung für die Multiplexsignalbildung benutzt wird.
  • Eine-umschaltbare Taktphasenverzögerungsschaltung für das eben beschriebene 11-/23-/29-stuSige umschaltbare Schieberegister ist in Fig. 5 abgebildet. Die Aufgabe der Schaltung besteht darin, für Jede Schieberegisterstufenzahl (hier n = 11, 23 oder 29), die entsprechend der Größe von n eine nZ?-Zyk'usdguer von 2n - 1 Taktperioden bewirkt, vier gleichartige QZF zu erzeugen, die un 2n-2 Taktperioden gegeneinander verschoben und dadurch zur Bildung einer gleichartigen QZF der vierfachen Bitrate geeignet sind. (Bekanntes Verfahren des-eingangs erwähnten Patents ). Die für die Phasenverschiebungen notwendigen modulo-2-Additionen sind aus den Gleichungen i rechten Teil der Fig. 5 zu entnehmen; der linke Teil zeigt zur Erläuterung des Scha-ltungsprinzips iro mögliche praktische Ausführung. In oberen Teil ist das Blockschaltbild eines auf 11, 23 oder 29 Stufen umschaltbaren Schieberegisters zu sehen, bei dem die Stufen hervorgehoben und bezeichnet sind, die in den Gleichungen vorkopsen. Der Ausgang des Flipflop 4 ist für alle drei Muster gleich (A11 = A23 = A29 = A). Die übrigen Flipflopausgänge sind an EXOR-Glieder geführt, die in der praktischen Ausführung die modulo-2-Addierer der Gleichungen darstellen und deren Verknüpfung die Signale 311, C11, D11, B23, 023, D23, 329, C29 und D29 ergeben. Diese werden an einen Baustein geführt, der als Dreifachumschalter dargestellt ist und die Signale 3, G und D abgibt, wobei B um 1.2n 2 Taktperioden gegenüber A, C um 2.2n-2 gegenüber A und um 1.2n-2gegenüber B sowie D um 3.2n-2 gegenüber A, um 2.2n-2 gegenüber B und um 1.2n-2 gegenüber C verschoben sind. Der abgebildete Dreifachumschelter wird erfindungsgemäß durch einen Dreifach-4-zu-1 -Nultiplexerbaustein realisiert, dessen Schaltstellungen durch statische Steuersignale vorgegeben werden, die den QZF-Auswahlaignalen des 11-/23-/29-stufigen Schieberegisters entsprechen. Die Taktphasenverzögerungsschaltung könnte in ECL-mechnik mit drei Bausteinen 100 107, die 5 EXOR-Glieder enthalten, und einem Baustein 100 171 als Dreifach-4-zu-1-Multiplezer aufgebaut werden. In dieser Bauweise wäre die Schaltung funktionsfähig, könnte aber nicht mit einer angestrebten und nach Art der Bausteine möglichen Bitrete bis 150 Mbit/s veränderbar betrieben werden, weil die Kettenschaltung zweier EXOR-Glieder und eines Multiplexers bei der Erzeugung der Signale B und D eine zu große Signallaufzeit mit sich brächte. Man müßte also entweder auf eine hohe Datengeschwindigkeit verzichten oder sich auf eine einzige Betriebsgeschwindigkeit beschränken, was nur.im Sonderfall. möglich sein wird. Eine bessere Lösung wäre die im Unteranspruch der Erfindung angegebene Schaltungsausführung, die deshalb hier in allen Einzelheiten dargestellt wird.
  • Fig. 6 zeigt die vollständige Schalung eines 11-|25-/29-stufigen umschaltbaren Schieberegisters mit 4 QZF-Ausgängen für die Multiplexsignalbildung nach dem Prinzip des Unteranspruchs. Es sind die aus Fig. 3 schon bekannten vier Schieberegisterbausteine 100 141 mit den Flipflops 1 bis 28 zu erkennen, die noch umfassender beschaltet wurden, am auffalligsten am zweiten Schieberegisterbaustein durch Aktivierzuig der bisher unbenutzten Flipflops 35 bis 38. Am D-Flipflopbaustein 100 151, der das Flipflop 29 enthält, sind die fünf weiteren Flipflops mit 30 bis 34 bezeichnet und ebenfalls heschaltet worden. Für die EXOR-Glieder gengen, wie noch erläutert wird, zwei 3austeine 100 107, während als achter Baustein der schon erwähnte Dreifach-4-zu-I-Multiple-:erbaustein 10C 171 zu erkennen is-t. Als Steuersignale sind das schon bekannte Anlaufsignal AS, das im Betriebszustand L ist, und die Musterumschaltsignale ## und das dazu inverse ## sowie ## und ## zu erkennen. Signal ## ist H bei QZF 11 und L bei den beiden anderen QZF 23 und 29, Signal ## ist H bei QZF 29 und sonst L, Signal ## ist H bei QZF 23 und sonst L. Die Ausgänge A, 3, C und D der Schaltung sind die Ausgänge Q der Flipflops 4, 32, 33 und 34; sie geben also datentaktgesteuerte Signale ab. Erfindungsgemäß im Sinne des Unteranspruchs ist dafür gesorgt, daß zwischen zwei Flipflops immer nur maximal ein EXOR-Glied- oder Multiplexer-Durchlauf erfolgt und jeder Flipflop-, EXOR-Glied- oder Multiplexer-Ausgang mit nur einem weiteren Baustein verbunden ist (dort jedoch an mehrere Bingänge führen kann).
  • Die Funktion der Schaltung wird nur für alle drei Teatmuater des Ausführungsbeispiels anhand der Schaltung erklärt.
  • Für die QZF 11 besteht das Schieberegister (siehe auch Fig. 7) aus den Flipflops 1 bis 7, 20, 25, 28, 29 (sinngemäß 11) und 1 bis 5, 21, 22, 26, 27 (sinngemäß 9). Signal All ist das Ausgangssignal des Flipflops 4, es ist gleichzeitig Signal A.
  • Signal C11 (= Q8 0+ Q9) entsteht durch EXOR-Verknüpfung der Ausgänge Q21 (sinngemäß Q6) und O22 (sinngemäß Q7) und Speicherung des Ergebnisses in Flipflop .14, so daß am Ausgang Q14 sinngemäß Q7 0 Q8 anliegt; nach Durchlauf des Multiplexers (-Ob in Richtung Zb) und des Flipflops 33 ergibt sich als Signal C sinngemäß die Verknüpfung Q8 s Q9. Signal D11 (= Q6 @ Q10 @ Q11) entsteht durch. Verzögerung von Q14 (= Q7 # Q8) mit Hilfe des Flipflops 19, so daß Q19 = Q8 @ Q9 ist; Q19 wird mit Q36 (sinngemäß Q4) verknüpftund das Ergebnis in Flipflop 18 gespeichert, so daß Q18 sinngemäß Q5 0+ Q9 0 Q10 wird; nach Durchlauf des Multiplexers (Oc in Richtung Zc) und des Flipflops 34 ergibt sich als Signal D sinngemäß die Verküpfung Q6 0 Q10 Qil, Signal 311 (= Q6 O Q11 @ Q12) entsteht durch Verzögerung von Q19 (= Q8 @ 29) mit Hilfe des Flipflops 15, so daß Q15 = Q9 0 Q10 ist; Q15 wird mit Q36 (sinngemäß Q4) verküpft und das Ergebnis in Flipflop 17 gespeichert, so daß Q17 sinngemäß Q5 0 Q10 O Q11 wird; nach Durchlauf des Multiplexers (Oa in Richtung Za) und des Flipflops 32 ergibt sich als Signal B sinngemäß die Verknüpfung Q6 @ Q11 Q Q12.
  • Für die QZF 23 besteht das Schieberegister (siehe auch Fig. 7) aus den Flipflops 1 bis 20, 25, 28, 29 (sinngemäß 23) und 1 bis 5, 21, 22, 26, 27 (sinngemäß 9). Signal A23 ist das Ausgangasignal des Flipflops 4, es ist gleichzeitig Signal A.
  • Signal 323 (= Q6 0 Q14 e) Q21) entsteht durch EY.OR-Verknüpfung von Q3 mit Q11 und Speicherung des Ergebnisses in Flipflop 36, so daß am Ausgang Q36 sinngemäß Q4 # Q12 anliegt; Q36 wird mit Q19 verknüpft und das negierte Ergebnis in Flipflop 30 gespeichert, so daß der negierte Ausgang Q30 sinngemäß Q5 # Q13 # Q20 wird; nach Durchlauf des Multiplexers (1a in Richtung Za) und des Flipflops 32 ergibt sich als Signal B die Verknüpfung Q6 e Q14 8 Q21. Signal C23 (= Q8 6 £15) entsteht durch EXOR-Verknüpfung von Q21 (sinngemäß Q6) mit Q13 und Speicherung des Ergebnisses in Flipflop 24, so daß am Ausgang Q24 sinngemäß Q7 e Q14 anliegt; nach Durchlauf des Multiplexers (1b in Richtung Zb) und des Flipflops 33 ergibt sich als Signal C die Verknüpfung Q8 e Q15.
  • Signal 223 (= Q9 # Q10 # Q17) entsteht durch EXOR-Verknüpfung von Q21 (sinngemäß Q6) mit Q22 (sinngemäß Q7) und Speicherung des negierten Ergebnisses in Flipflop 31, so daß am Ausgang Q31 sinngemäß - (Q7 @ Q8), negiert -anliegt; durch Verknüpfung von Q31 mit Q15 und Speicherung des negierten Ergebnisses in Flipflop 23 entsteht alc Signal Q23 sinngemäß Q8 # Q9 # Q16; nach Durchlauf des Multiplexers (Ic in Richtung Zc) und des Flipflops 34 ergibt sich als Signal D sinngemäß die Verknupfung Q9 # Q10 # Q17.
  • Für die QZF 29 besteht das Schieberegister (siehe auch Fig. 7) aus den Flipflops 1 bis 29 (Rückkopplung 27/29).
  • Signal A29 ist das Ausgangsssignal des Flipflops 4, es ist gleichzeitig Signal A. Signal 329 (= Q11 Q24 0 Q25) entsteht durch EXOR-Verknüpfung von Q21 mit Q22 und Speicherung des negierten Ergebnisses in Flipflop 31, so daß am negierten Ausgang Q31 sinngemäß Q22 e Q23 anliegt; durch Verknüpfung von Q31 mit Q9 und Speicherung des Ergebnisses in Flipflop 37 entsteht als Signal Q37 sinngemäß Q10 # Q23 0+ Q24; nach Durchlauf des Multiplexers (22 in Richtung Za) und des Flipflops 32 ergibt sich als Signal 3 sinngemäß die Verknüpfung Q11 # Q24 # Q25. Signal D29 (= Q10 # Q24 # Q25) entsteht durch EXOR-Verknüpfung von Q31 (= Q22 # Q23) mit Q8 und Speicherung des Ergebnisses in Flipflop 38, so daß als Signal Q38 sinngemäß Q9 # Q23 # Q24 entsteht; nach Durchlauf des Multiplexers (2c in Richtung Zc) und des Flipflops 34 ergibt sich als Signal D sinngemäß die Verknüptung Q10 # Q24 # Q25. Signal C29 (= Q17 # Q18) entsteht durch mXOR-Verknüpfung von Q15 mit Q16 und Speicherung des Ergebnisses in Flipflop 35, so daß als Signal Q35 sinngemäß Q16 0+ Q17 entsteht; nach Durchlauf des Multiplexers (2b in Richtung Zb) und des Flipflops 33 ergibt sich als Signal C sinngemäß die Verknüpfung Q17 # Q18. # Um aus den Ausgangasignalen A, B, C und D eine QZF der vierfachen Bitrate gewinnen zu können, muß man damit einen schnellen, hier nicht näher ausgeführten Multiplexer beschalten und dafür sorgen, daß im 1. Viertel der Taktperiode Signal A, im 2. Viertel Signal 3, im 3. Viertel Signal C und im 4. Viertel Signal D durchgeschaltet werden. Für die im beschriebenen umschaltbaren Schieberegister verwendeten Bausteine wird vom Hersteller eine maximale Bitrate von 380 tZit/s garantiert. Die Schaltung nach dem Unteranspruch wurde bis 160 Mbit/s erprobt. Das Schaltvermögen der Bausteine war damit noch nicht voll ausgeschöpft, aber das Konstruktionsziel (140 Mbit/s) erreicht und gleichzeitig bewiesen worden, daß mit einem schnellen Multiplexer Bitraten von mehr als 600 I;bit/s mit diesen Schaltungskonzept erreicht weraen können.
  • L e e r s e i t e

Claims (2)

  1. Testmusterumschalteinrichtung für einen Quasizufallsgenerator mit Multiplexsignalbildung (2) Patentansprüche 1. Testmusterumschalteinrichtung für einen Quasizufallsgenerator mit Multiplexsignalbildung, bestehend aus einem Rückkopplungsumschalter für ein mit einem Viertel der Sendebitrate betriebenes Schieberegister zur Erzeugung einer ersten Quasizufallsfolge und einem Takt--phasenumechalter zur Erzeugung der für die Multiplexsignalbildung notwendigen zweiten, dritten und vierten zeitlich versetzten Folgen der gleichen Art, da durch gek e n n z ei c h ne t, dai3 der Rückkopplungsumschalter aus integrierten emittergekoppelten Parallelschieberegisterbausteinen besteht und daß der Taktphasenumschalter ein integrierter e mittergekoppelter Dreifach-4-zu-1-Multiplexerbaustein ist.
  2. 2. Testmusterumschalteinrichtung nach Anspruch 1, dadurch gekennzeichnet, daß zwischen zwei Flipflopstufen nur jeweils ein Verknüpfungsglied- bzw. lultiplexer-Durchlauf erfolgt und daß jede von einem Baustein-ausgehende Verbindungsleitung nur zu jeweils einem weiteren Baustein führt.
DE19823220153 1982-05-28 1982-05-28 Testmusterumschalteinrichtung fuer einen quasizufallsgenerator mit multiplexsignalbildung Withdrawn DE3220153A1 (de)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE19823220153 DE3220153A1 (de) 1982-05-28 1982-05-28 Testmusterumschalteinrichtung fuer einen quasizufallsgenerator mit multiplexsignalbildung

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE19823220153 DE3220153A1 (de) 1982-05-28 1982-05-28 Testmusterumschalteinrichtung fuer einen quasizufallsgenerator mit multiplexsignalbildung

Publications (1)

Publication Number Publication Date
DE3220153A1 true DE3220153A1 (de) 1983-12-01

Family

ID=6164759

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19823220153 Withdrawn DE3220153A1 (de) 1982-05-28 1982-05-28 Testmusterumschalteinrichtung fuer einen quasizufallsgenerator mit multiplexsignalbildung

Country Status (1)

Country Link
DE (1) DE3220153A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2601531A1 (fr) * 1986-07-11 1988-01-15 Clarion Co Ltd Generateur de sequence de registre a decalage

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2601531A1 (fr) * 1986-07-11 1988-01-15 Clarion Co Ltd Generateur de sequence de registre a decalage

Similar Documents

Publication Publication Date Title
EP0046499B1 (de) Schieberegister für Prüf- und Test-Zwecke
DE3130714C2 (de)
DE10164914B4 (de) Verifizierungsschaltungsanordnung
DE69715409T2 (de) Seriell-parallel- und parallel-seriell-umsetzer
EP0046500A1 (de) Schieberegister für Prüf- und Test-Zwecke
DE3824684A1 (de) Pseudo-zufalls-rauschcode-generator
DE69032035T2 (de) FIFO-Speicher
DE10130123B4 (de) Verzögerungsregelkreis zur Erzeugung komplementärer Taktsignale
DE2027303B2 (de) Filter mit frequenzabhängigen Übertragungseigenschaften für elektrische Analogsignale
DE3916720A1 (de) Verfahren zur erweiterung eines dreistufigen regelmaessigen koppelfeldes
DE3722907A1 (de) Maximallaengen-schieberegister-folgegenerator
DE69515018T2 (de) Schaltung zur konversion serieller in parallele daten
DE3220153A1 (de) Testmusterumschalteinrichtung fuer einen quasizufallsgenerator mit multiplexsignalbildung
DE3044037A1 (de) Verfahren und schaltung zur ratenaenderung
DE2112637B2 (de) Komplexe schaltungsanordnung aus einer vielzahl untereinander verschalteter integrierter schaltkreise
DE2926857A1 (de) Schaltungsanordnung zur ermittlung eines fehlerhaften oszillators in einer schleifenschaltung
DE3601858C1 (de) Schaltungsanordnung zur Frequenzteilung
DE4422784C2 (de) Schaltungsanordnung mit wenigstens einer Schaltungseinheit wie einem Register, einer Speicherzelle, einer Speicheranordnung oder dergleichen
DE2427603A1 (de) Schaltungsanordnung zum nachbilden der wellenform von telegrafieschrittimpulsen mit digitalen mitteln
DE68923843T2 (de) Synchroner logischer schaltkreis mit übertragungssignalsteuerung.
DE2361980C2 (de) Vorrichtung zur Vermeidung von verständlichen Übersprechstörungen in Zeitvielfachsystemen, insbesondere Zeitvielfachvermittlungsstellen
DE3502735C2 (de) Schaltungsanordnung für den dynamischen Echtzeittest einer synchronen Digitalschaltung
DE2657169B2 (de) Anordnung zur Unterdrückung von positiven und negativen Störimpulsen einer .bestimmten Breite
DE10136548B4 (de) Verfahren zum Prüfen interner Steuersignale in Halbleitervorrichtungen
DE1537956C3 (de) Torschaltung für Impulse mit polaritätsspeichernder Eigenschaft

Legal Events

Date Code Title Description
8127 New person/name/address of the applicant

Owner name: LEHMANN, ERWIN, DIPL.-ING., 1000 BERLIN, DE

8139 Disposal/non-payment of the annual fee