DE19961578A1 - Sensor comprises a micromechanical structure based on silicon integrated in the sensor chamber of a base wafer and a cover made of a transparent deposition layer and a sealing layer - Google Patents

Sensor comprises a micromechanical structure based on silicon integrated in the sensor chamber of a base wafer and a cover made of a transparent deposition layer and a sealing layer

Info

Publication number
DE19961578A1
DE19961578A1 DE19961578A DE19961578A DE19961578A1 DE 19961578 A1 DE19961578 A1 DE 19961578A1 DE 19961578 A DE19961578 A DE 19961578A DE 19961578 A DE19961578 A DE 19961578A DE 19961578 A1 DE19961578 A1 DE 19961578A1
Authority
DE
Germany
Prior art keywords
layer
sensor
deposition
etching
deposition layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE19961578A
Other languages
German (de)
Inventor
Frank Reichenbach
Stefan Pinter
Frank Henning
Hans Artmann
Helmut Baumann
Franz Laermer
Michael Offenberg
Georg Bischopink
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Robert Bosch GmbH
Original Assignee
Robert Bosch GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert Bosch GmbH filed Critical Robert Bosch GmbH
Priority to DE19961578A priority Critical patent/DE19961578A1/en
Priority to US10/168,584 priority patent/US6936902B2/en
Priority to PCT/DE2000/004454 priority patent/WO2001046066A2/en
Publication of DE19961578A1 publication Critical patent/DE19961578A1/en
Priority to US11/028,370 priority patent/US7273764B2/en
Ceased legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00277Processes for packaging MEMS devices for maintaining a controlled atmosphere inside of the cavity containing the MEMS
    • B81C1/00293Processes for packaging MEMS devices for maintaining a controlled atmosphere inside of the cavity containing the MEMS maintaining a controlled atmosphere with processes not provided for in B81C1/00285
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0228Inertial sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/09Packages
    • B81B2207/091Arrangements for connecting external electrical signals to mechanical structures inside the package
    • B81B2207/094Feed-through, via
    • B81B2207/095Feed-through, via through the lid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0115Porous silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0136Growing or depositing of a covering layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0145Hermetically sealing an opening in the lid

Abstract

Sensor comprises a micromechanical structure based on silicon integrated in the sensor chamber of a base wafer and a cover (13) made of a first layer (32) permeable to an etching medium and reaction products and a hermetically sealed second layer (34). The cover covers the base wafer in the region of the sensor chamber. An Independent claim is also included for a process for the production of a sensor, comprising: filling the sensor chamber (28) in the base wafer with an oxide, especially CVD oxide or porous oxide; covering the sensor chamber (28) with a transparent deposition layer (32); removing the oxide in the sensor chamber using an etching medium; and applying a sealing layer (34) made of metal or insulator to hermetically seal the sensor chamber. Preferred Features: The deposition layer is made of polycrystalline silicon. The sealing layer is an insulator made of silicon nitride or silicon oxide, or aluminum.

Description

Die Erfindung betrifft einen Sensor mit zumindest ei­ ner mikromechanischen Struktur auf Siliziumbasis mit den im Oberbegriff des Anspruchs 1 genannten Merkma­ len und ein Verfahren zur Herstellung eines solchen Sensors mit den im Oberbegriff des Anspruchs 18 ge­ nannten Merkmalen.The invention relates to a sensor with at least one egg with a micromechanical structure based on silicon the Merkma mentioned in the preamble of claim 1 len and a method for producing such Sensor with the ge in the preamble of claim 18 named features.

Stand der TechnikState of the art

Sensoren, die mikromechanische Strukturen auf Sili­ ziumbasis besitzen, sind bekannt. Handelt es sich bei der mikromechanischen Struktur um ein bewegliches Element (Sensorelement), können derartige Sensoren beispielsweise als Beschleunigungssensoren, Dreh­ beschleunigungssensoren, Neigungssensoren, resonante Magnetfeldsensoren oder Drehratensensoren eingesetzt werden. Üblicherweise bestehen diese Sensoren aus ei­ nem Grundwafer, der zumeist ebenfalls aus silizium­ haltigen Materialien geformt ist, in dem die Struktur in einem sogenannten Sensorraum seiner Oberfläche in­ tegriert ist. Zum Schutz der Strukturen und der im Sensorraum herrschenden Atmosphäre wird der Grundwa­ fer mit einem Kappenwafer mit einer jeweils zumindest den Sensorraum abdeckenden Abdeckung belegt. Dieser Kappenwafer weist aufgrund seiner mikromechanischen Vorstrukturierung eine Vielzahl von Einzelkappen im Verbund auf, von denen jede Einzelkappe jeweils exakt über den Sensorräumen zu liegen kommt, mit diesem hermetisch dicht verlötet wird und dadurch die darun­ ter liegende Sensorstruktur hermetisch gegen die Um­ welt abschirmt.Sensors, the micromechanical structures on Sili possess zium basis are known. It is the micromechanical structure around a movable one Element (sensor element), such sensors for example as acceleration sensors, rotation acceleration sensors, inclination sensors, resonant Magnetic field sensors or rotation rate sensors are used become. These sensors usually consist of egg a base wafer, which is usually also made of silicon containing materials is molded in the structure in a so-called sensor room of its surface in is tegrated. To protect the structures and the The atmosphere prevailing in the sensor room becomes the basic wa  fer with a cap wafer with at least one each cover covering the sensor space. This Cap wafer exhibits due to its micromechanical Pre-structuring a variety of individual caps in the Compound on, of which each individual cap each exactly comes to lie above the sensor rooms with this is hermetically sealed and thereby the The sensor structure lying hermetically against the environment shields the world.

Aus der DE 195 37 814 A1 ist die Herstellung derarti­ ger Sensoren bekannt. Ausgehend von einem Silizium­ substrat werden dabei abwechselnd Isolationsschichten und Leitungsschichten (als Elektroden oder elektri­ sche Verbindungen) mit den herkömmlichen, in der Halbleitertechnik bekannten Verfahrensschritten auf­ gebracht. Mittels ebenfalls bekannter Maskierungs- und Bearbeitungsverfahren kann eine Strukturierung derartiger Schichten, beispielsweise über Lithografie oder Ätzprozesse, erfolgen. In einem sich anschlie­ ßenden Prozessschritt wird eine polykristalline Sili­ ziumschicht (Epipolysilizium) mit einer Schichtdicke von wenigen Nanometern bis zu einigen 10 µm, vorzugs­ weise 10 bis 20 µm, erzeugt. Aus dieser Silizium­ schicht werden letztendlich die benötigten Strukturen geätzt und durch ein Unterätzen frei beweglich ge­ macht. Die zuvor aufgebrachte und strukturiert ver­ grabene Leitungsschicht erlaubt es, elektrische Ver­ bindungen zwischen Elementen des Sensors und mit der "Außenwelt" in Form sogenannter Anschlussbereiche herzustellen. Diese Anschlussbereiche, die über die Leitschicht mit Sensorelementen in Verbindung stehen, tragen an ihrer Oberfläche eine Metallisierung. Der Anschlussbereich mit der darauf aufgebrachten Me­ tallisierung dient zum Befestigen von Bonddrähten, mit denen dann ein elektrischer Kontakt zu den Struk­ turen im Sensorraum (Sensorstruktur) hergestellt wer­ den soll. Die in der DE 195 37 814 A1 genannte Sen­ sorstruktur zeichnet sich dadurch aus, dass sie einen beweglichen (frei stehenden) Bereich mit Messkapazi­ täten besitzt, wobei Veränderungen der Messkapazität bei einer Auslenkung als Messgröße genutzt werden.From DE 195 37 814 A1, the production is such ger sensors known. Starting from a silicon Isolation layers are alternately substrate and conductor layers (as electrodes or electri connections) with the conventional ones Semiconductor technology known process steps brought. Using known masking and machining process can structuring such layers, for example via lithography or etching processes. Join in one The process step is a polycrystalline sili zium layer (epipolysilicon) with a layer thickness from a few nanometers to a few 10 µm, preferably as 10 to 20 microns generated. For this silicon The required structures are ultimately layered etched and freely movable by undercutting makes. The previously applied and structured ver trench line layer allows electrical Ver bonds between elements of the sensor and with the "Outside world" in the form of so-called connection areas to manufacture. These connection areas, which over the Conductive layer are connected to sensor elements,  have a metallization on their surface. The Connection area with the Me tallizing is used to attach bond wires, with which then an electrical contact to the structure doors in the sensor room (sensor structure) that should. The Sen mentioned in DE 195 37 814 A1 sor structure is characterized by the fact that it movable (free-standing) area with measuring capacitance has changes in the measurement capacity can be used as a measurement in the event of a deflection.

Die insgesamt hier exemplarisch angeführten Bestand­ teile des Sensors werden aus Gründen der Vereinfa­ chung des Weiteren als Grundwafer bezeichnet. Der Grundwafer muss in einem letzten Bearbeitungsschritt mit dem Kappenwafer hermetisch dicht verbunden wer­ den. Dazu ist beim Stand der Technik vorgesehen, mit­ tels einer Glaslotschicht auf dem Kappenwafer jeweils einen Deckel oberhalb jedes Sensorraumes auf der Oberfläche des Grundwafers zu befestigen (Seal-Glas­ lotprozess). Nachteilig hierbei ist, dass diese Tech­ nik relativ kostspielig ist. So muss die Glaslot­ schicht mittels Siebdruckverfahren auf dem mikro­ mechanisch strukturierten Kappenwafer aufgebracht werden. Der Kappenwafer muss bereits beidseitig strukturiert sein, um anschließend die Verdeckelung und die Kontaktierung des Sensors zu ermöglichen, das heißt, der Kappenwafer selbst ist bereits in sich kostspielig. Darüber hinaus weist diese Verkappungs­ technik einen relativ hohen Platzbedarf auf, bei dem bis zu zirka 75% der Einzelelementfläche für die Verankerung der Kappe auf dem Sensorchip benötigt werden. Die sich ergebende Bauhöhe und beschränkten Strukturierungsmöglichkeiten schließen die Verwendung bestimmter besonders kostengünstiger Gehäuse für den Sensor aus.The total inventory exemplified here parts of the sensor are also referred to as the base wafer. The Base wafer must be in a final processing step who is hermetically sealed to the cap wafer the. For this purpose, the prior art provides for a glass solder layer on the cap wafer in each case a lid above each sensor room on the Attach the surface of the base wafer (seal glass soldering process). The disadvantage here is that this tech nik is relatively expensive. So the glass solder layer on the micro using screen printing mechanically structured cap wafer applied become. The cap wafer must already be on both sides be structured to subsequently capping and the contacting of the sensor to enable that means that the cap wafer itself is already in itself expensive. In addition, this capping points technology requires a relatively large amount of space in which up to approximately 75% of the individual element area for the The cap must be anchored to the sensor chip  become. The resulting overall height and limited Structuring options exclude the use certain particularly inexpensive housing for the Sensor off.

Häufig sind die durch die Kappen des Kappenwafers überdeckten frei stehenden Bereiche relativ groß. Sensorstrukturen weisen häufig Kantenlängen von meh­ reren 100 µm auf. Wird ein solcher Sensor mit einer mechanischen Überlast beaufschlagt, so kann im Ex­ tremfall ein Durchbiegen der Deckschicht nicht nur zu einer Störung der sensorischen Eigenschaften, sondern letztendlich auch zu einer übermäßigen Auslenkung der Sensorstruktur bis zu einer irreversiblen Schädigung führen.These are common through the caps of the cap wafer covered free-standing areas relatively large. Sensor structures often have edge lengths of several up to 100 µm. If such a sensor with a mechanical overload, in Ex In the worst case scenario, the top layer does not just bend a disturbance of the sensory properties, but ultimately, excessive deflection of the Sensor structure up to irreversible damage to lead.

Vorteile der ErfindungAdvantages of the invention

Erfindungsgemäß werden durch den Sensor und das Ver­ fahren zur Herstellung des Sensors mit den in den An­ sprüchen 1 und 18 genannten Merkmalen die Nachteile des Standes der Technik überwunden. Dadurch, dass die Abdeckung aus einer für ein Ätzmedium und die Reak­ tionsprodukte transparenten ersten Schicht (Abschei­ deschicht) und einer darüber liegenden hermetisch dichtenden zweiten Schicht (Abdichtungsschicht) be­ steht, kann prozesstechnisch auf den teuren Kappen­ wafer, die herkömmlichen Siebdruck- und Lötverfahren und auf die großen Flächenvorhalte der Glaslöttechnik verzichtet werden und damit die Prozessierung insge­ samt wesentlich kostengünstiger vollzogen werden. In­ dem
According to the invention, the disadvantages of the prior art are overcome by the sensor and the method for producing the sensor having the features mentioned in claims 1 and 18. The fact that the cover consists of a transparent for an etching medium and the reaction products first layer (Abschei deschicht) and an overlying hermetically sealing second layer (sealing layer), can process technology on the expensive cap wafer, the conventional screen printing and soldering processes and the large surface areas of glass soldering technology are dispensed with and the processing as a whole is carried out much more cost-effectively. By doing

  • a) zumindest der im Grundwafer nach Etablierung der Struktur vorhandene Sensorraum mit einem Oxid, insbesondere einem CVD-Oxid oder porösem Oxid, gefüllt wird,a) at least that in the base wafer after establishment sensor structure with a structure Oxide, especially a CVD oxide or porous Oxide being filled
  • b) der Sensorraum mit einer für ein Ätzmedium und die Reaktionsprodukte transparenten oder nach­ träglich transparent gemachten ersten Schicht, insbesondere aus Polysilizium, bedeckt wird,b) the sensor room with one for an etching medium and the reaction products transparent or after first layer made sluggishly transparent, in particular made of polysilicon,
  • c) das Oxid in dem Sensorraum durch die erste Schicht (Abscheideschicht) hindurch mit dem Ätzmedium entfernt wird undc) the oxide in the sensor space through the first Layer (separating layer) through with the Etching medium is removed and
  • d) anschließend eine zweite Schicht (Abdichtungs­ schicht), insbesondere aus Metall oder einem Isolator, auf die erste Schicht aufgebracht wird, die den Sensorraum hermetisch abdichtet,d) then a second layer (sealing layer), in particular made of metal or a Insulator applied to the first layer that hermetically seals the sensor space,

ist es möglich, eine Strukturierung der Abdeckung nachträglich über die in der Halbleitertechnik be­ kannten Maskierungs- und Bearbeitungsverfahren zu er­ möglichen.it is possible to structure the cover retrospectively about the be in semiconductor technology knew masking and processing methods possible.

Nach den Sehritten (a) und/oder (b) kann eine Plana­ risierung der Waferoberfläche durchgeführt werden (zum Beispiel CMP = chemo mechanical polishing). Hierdurch werden insbesondere die bestehenden Pro­ zessprobleme aufgrund von Topografien, beispielsweise die Aufbringung und Strukturierung der Bondpads (Metallisierung), umgangen. Gegenüber dem etablierten Kappenprozess resultieren aus der vereinfachten Pro­ zessführung auch deutlich geminderte Herstellungs­ kosten. Die Erfindung schafft somit einen Zugang zu einem Sensor der gattungsgemäßen Art und zeigt ein Verfahren zur Herstellung des Sensors auf, mittels dem es erstmalig möglich ist, eine Verkappung mit deutlich geringerer Bauhöhe zum hermetischen Abdich­ ten von Sensorräumen bei mikromechanischen Strukturen zu verwenden, so dass ein Einbau in die bereits er­ wähnten, besonders kostengünstigen Gehäuse nunmehr möglich wird.After the steps (a) and / or (b), a plan can Rization of the wafer surface can be performed (for example CMP = chemo mechanical polishing). As a result, the existing Pro Process problems due to topographies, for example the application and structuring of the bond pads (Metallization) bypassed. Compared to the established  Capping process result from the simplified pro Process control also significantly reduced manufacturing costs. The invention thus provides access to a sensor of the generic type and shows a Method of manufacturing the sensor by means of which it is possible for the first time to use significantly lower overall height for hermetic sealing sensor rooms in micromechanical structures to use so that an installation in the already he mentioned, particularly inexpensive housing now becomes possible.

Die Permeabilität der Abscheideschicht für das benö­ tigte Ätzmedium und die während der Ätzung entstehen­ den Reaktionsprodukte kann auf zweierlei Weise er­ zwungen werden. Zum einen können durch anisotropes Ätzen Ätzöffnungen in die Abscheideschicht einge­ bracht werden, wie es beispielsweise durch das in der DE 42 41 045 patentierte Silizium-Tiefenätzverfahren beschrieben wird. Größe und Lage derartiger Ätzöff­ nungen können durch eine Maskierung fotolithografisch sehr gezielt definiert werden, so dass es unter ande­ rem möglich ist, eine spätere Exposition des Sensorraumes mit dem die Abdichtungsschicht bilden­ den, hermetisch dichtenden Material möglichst gering zu halten. Es können Ätzöffnungen erzeugt werden, die einen Durchmesser von Bruchteilen von Mikrometern bis zu einigen Mikrometern haben und die in noch zu er­ läuternder Weise in relativ kurzer Zeit abgedichtet werden können. Dies wird beispielsweise durch ein hohes Aspektverhältnis - einem Verhältnis zwischen Tiefe und Durchmesser der Ätzöffnungen - erreicht. The permeability of the deposition layer for the required etching medium and which arise during the etching the reaction products he can in two ways be forced. Firstly, through anisotropic Etch etch openings in the deposition layer be brought, as for example by the in the DE 42 41 045 patented silicon deep etching process is described. Size and location of such etch can be photolithographically masked be defined very specifically, so that it is among others rem is possible, a later exposure of the Sensor room with which form the sealing layer the hermetically sealing material as low as possible to keep. Etching openings can be created that a diameter of fractions of a micrometer to to have a few micrometers and the one in he too sealed in a relatively short time can be. This is done, for example, by a high aspect ratio - a ratio between Depth and diameter of the etching openings - reached.  

Zum anderen können für die Abdeckung permeable Mate­ rialien eingesetzt werden, zum Beispiel Silizium, Po­ lysilizium oder Epipolysilizium, welches aufgrund der Abscheidebedingungen bereits permeabel ist oder durch nachfolgende Prozessierung zumindest in Bereichen permeabel gemacht wird.On the other hand, permeable mate can be used for the cover rialien be used, for example silicon, Po lysilicon or epipolysilicon, which due to the Separation conditions are already permeable or through subsequent processing at least in areas is made permeable.

Ein vorteilhaftes Verfahren, um die Transparenz der Abdeckung zu erzwingen, ist die Anwendung elektro­ chemischer Ätzvorgänge. Eine derartige Modifikation der Abscheideschicht erfolgt in einem geeigneten Elektrolyten, beispielsweise einem Flusssäure-Etha­ nol-Gemisch. Dabei wird das dem Ätzvorgang ausge­ setzte Silizium der Abdeckung in poröses Silizium um­ gewandelt, also porosifiziert. Nicht zu porosifizie­ rende Bereiche der Abscheideschicht können in bekann­ ter Weise durch Maskierschichten oder entsprechende Dotierung (zum Beispiel n-) geschützt werden. Ein elektrischer Anschluss durch Anlegen eines anodischen Potentials kann sowohl über die Oberseite als auch von der Unterseite der Abscheideschicht erfolgen. Im letzteren Fall wird das anodische Potential an die unterhalb der Abscheideschicht liegende Schicht aus Epipolysilizium, die sowohl das Material der Sensor­ strukturen als auch des Bondrahmens des Grundwafers bildet, gelegt. Hierbei ist vorteilhaft, dass der Bondrahmen direkt elektrisch mit dem Grundwafer ver­ bunden werden kann. Eine zusätzliche elektrische Ver­ bindung zwischen Grundwafer und Abdeckung besteht in Form von Stützelementen, welche zur mechanischen Stabilisierung der Abdeckung vorgesehen werden kön­ nen. Damit ist es in einfacher Weise möglich, die elektrische Kontaktierung der Abscheideschicht über den Grundwafer von der Rückseite des Grundwafers vor­ zunehmen (Rückseitenkontakt).An advantageous method to enforce the transparency of the cover is to use electrochemical etching processes. Such a modification of the deposition layer takes place in a suitable electrolyte, for example a hydrofluoric acid / ethanol mixture. Here, the etched out silicon of the cover is converted into porous silicon, that is, porosified. Not porosifizie Rende areas of the deposition layer can in known manner by ter masking layers or suitable doping (e.g., n -) will be protected. An electrical connection by applying an anodic potential can take place both over the top and from the bottom of the deposition layer. In the latter case, the anodic potential is applied to the layer of epipolysilicon lying below the deposition layer, which layer forms both the material of the sensor structures and the bond frame of the base wafer. It is advantageous here that the bonding frame can be connected directly electrically to the base wafer. An additional electrical connection between the base wafer and the cover is in the form of support elements which can be provided for the mechanical stabilization of the cover. This makes it possible in a simple manner to make electrical contact with the deposition layer via the base wafer from the back of the base wafer (rear side contact).

Der Ätzvorgang kann zusätzlich durch Bestrahlung in einem Wellenlängenbereich von 100 nm bis 1000 nm, insbesondere zwischen 350 nm bis 800 nm, unterstützt werden. Auf diese Weise kann die Bearbeitung der Ab­ scheideschicht besonders homogen erfolgen. Darüber hinaus ist es vorteilhaft, mittels gezielter Dotie­ rung der Abscheideschicht die Porosität und damit die Permeabilität des porösen Siliziums zu beeinflussen. So wird eine p-Dotierung zur Erzeugung mesoporöser Poren genutzt, während eine n-Dotierung zur Erzeugung von Ätzöffnungen mit einem Durchmesser von einigen Nanometern bis zu mehreren Mikrometern genutzt werden kann.The etching process can also be carried out by irradiation a wavelength range from 100 nm to 1000 nm, in particular between 350 nm to 800 nm become. In this way, the processing of the Ab separating layer take place particularly homogeneously. About that it is also advantageous to use a targeted dotie the porosity and thus the To influence the permeability of the porous silicon. So p-doping becomes more mesoporous Pores are used while generating an n-doping of etching openings with a diameter of a few Nanometers up to several micrometers can be used can.

Weiterhin hat es sich als vorteilhaft erwiesen, die Permeabilität der Abscheideschicht durch ein eben­ falls nachträglich eingesetztes modifiziertes Stain-Etch-Verfahren zu erzwingen, bei dem eine Mischung aus Flusssäure, Salpetersäure und Wasser eingesetzt wird. Über eine Einstellung der Mischverhältnisse und Expositionszeiten kann die Porosität und Tiefe der Ätzung eingestellt werden.Furthermore, it has proven to be advantageous Permeability of the deposition layer through an even if modified used afterwards Stain-etch to force a mixture from hydrofluoric acid, nitric acid and water becomes. By adjusting the mixing ratios and Exposure times can change the porosity and depth of the Etching can be set.

Als besonders vorteilhaft hat es sich auch erwiesen, die Permeabilität der Abscheideschicht mittels eines galvanischen Verfahrens, bei dem eine Metallschicht in dem nicht zu verändernden Bereich der Abdeckung aufgebracht wird, zu erzeugen. Die metallische Schicht übernimmt dabei gleichzeitig die Funktion ei­ ner Maskierungsschicht und braucht vor der Aufbrin­ gung der späteren Abdichtungsschicht nicht notwendi­ gerweise entfernt zu werden. Sie besteht aus einem Metall, das edler ist als Silizium, insbesondere aus Edelmetallen wie Platin und Gold. Die Porosität des während des galvanischen Prozesses erzeugten porösen (Poly-)Siliziums kann in Abhängigkeit von einer Stromdichte und der Elektrolytzusammensetzung, insbe­ sondere über das Flächenverhältnis Metall/Silizium, beeinflusst werden, da dies das galvanische Element, also die Stromquelle darstellt.It has also proven to be particularly advantageous the permeability of the deposition layer by means of a galvanic process in which a metal layer in the area of the cover that cannot be changed is applied to generate. The metallic  Layer also takes on the function egg masking layer and needs before application the subsequent sealing layer is not necessary to be removed at times. It consists of one Metal that is more noble than silicon, especially made of Precious metals like platinum and gold. The porosity of the Porous generated during the galvanic process (Poly) silicon can, depending on one Current density and the electrolyte composition, esp especially about the area ratio metal / silicon, be influenced, as this is the galvanic element, thus represents the power source.

Es hat sich ferner als vorteilhaft erwiesen, dass an der Unterseite der Abscheideschicht Stützelemente vorgesehen sind, die eine mechanisch stabile Verbin­ dung zwischen dem Grundwafer und der Abdeckung dar­ stellen. Sind die einzelnen Stützelemente oder auch Stützstreben einige Mikrometer bis einige 10 Mikrome­ ter voneinander beabstandet, so ist einerseits ein übermäßiges Durchbiegen der Deckplatte bei Beauf­ schlagung mit einer Überlast verhindert und anderer­ seits ist insgesamt die Stabilität wesentlich erhöht.It has also proven to be advantageous that the bottom of the separation layer support elements are provided that a mechanically stable connection between the base wafer and the cover put. Are the individual support elements or too Support struts a few microns to a few 10 microns ter spaced from each other, on the one hand is a excessive bending of the cover plate at Beauf Prevents striking with an overload and others on the one hand, overall stability is significantly increased.

Ferner hat es sich als vorteilhaft erwiesen, auch die Abdichtungsschicht durch ein maskiertes Ätzverfahren zu strukturieren. Dabei kann das verwendete Ätzver­ fahren auch eine Strukturierung der Abscheideschicht und gegebenenfalls sogar darüber hinaus eine obere Schicht des Grundwafers, insbesondere aus Epipolysi­ lizium, umfassen. It has also proven to be advantageous, including the Sealing layer using a masked etching process to structure. The Ätzver used also drive a structuring of the deposition layer and possibly even an upper one Layer of the base wafer, especially from Epipolysi silicon, include.  

In einer weiteren vorteilhaften Ausgestaltung des Verfahrens kann über die Druckbedingungen, die wäh­ rend der Deposition der Abdichtungsschicht herrschen, der Druck innerhalb des Sensorraumes eingestellt wer­ den. Der während der Deposition der Abdichtungs­ schicht herrschende Prozessdruck wird sich automa­ tisch auch im Sensorraum einstellen und dort einge­ siegelt werden, während die Abdichtungsschicht auf­ wächst. Als Abscheideprozesse für die Abdichtungs­ schicht kommen Sputterprozesse (für Metallschichten) oder PECVD-Prozesse (für SiN, SiO, SiC, etc.) in Frage. Soll der eingeschlossene Druck nicht identisch zum Abscheidedruck sein, bestehen zusätzliche Optio­ nen. Vorteilhafterweise wird dazu vor oder während der Deposition der Sensor mit einem zusätzlich in die Depositionskammer eingebrachten Inertgas, insbeson­ dere Helium, bei einer vorgegebenen Temperatur beauf­ schlagt. Aufgrund der Permeabilität der Abscheide­ schicht kann ein verzögerter Druckausgleich erfolgen, wobei die zugrunde liegenden Diffusionsvorgänge empi­ risch ermittelt werden können. Da die Unterbindung des Druckausgleiches durch Aufbringung der Abdich­ tungsschicht bereits bei Schichtdicken von wenigen Mikrometern oder darunter gegeben ist, kann die Ver­ siegelung innerhalb relativ kurzer Zeiten erfolgen.In a further advantageous embodiment of the The process can be based on the printing conditions prevail after the deposition of the sealing layer, the pressure within the sensor room is set the. The one during the deposition of the waterproofing layer prevailing process pressure will automa Set the table in the sensor room and turn it on be sealed while the sealing layer is on grows. As separation processes for the sealing come sputter processes (for metal layers) or PECVD processes (for SiN, SiO, SiC, etc.) in Question. The enclosed pressure should not be identical for the deposition pressure, there are additional options nen. This is advantageously done before or during the deposition of the sensor with an additional in the Deposition chamber introduced inert gas, in particular helium, at a given temperature strikes. Due to the permeability of the separator delayed pressure equalization, whereby the underlying diffusion processes empi can be determined rically. Because the tie pressure equalization by applying the seal layer even with layer thicknesses of a few Micrometers or less, the Ver sealing take place within relatively short times.

Über die genannten erfindungsgemäßen Verfahrens­ schritte lassen sich außerdem in besonders einfacher Weise kapazitive Drucksensoren herstellen. Als ge­ meinsames Merkmal weisen derartige Drucksensoren eine Differentialkondensatoranordnung auf, die direkt oder über ein Kupplungselement mit der Abdeckung verbunden ist, so dass eine Durchbiegung der Abdeckung zu einer Änderung der Kapazitäten in der Differentialkondensa­ toranordnung führt, welche wiederum als Messgröße dient.About the method according to the invention mentioned steps can also be particularly easy How to produce capacitive pressure sensors. As ge Such sensors have a common feature Differential capacitor arrangement on that directly or connected to the cover via a coupling element  is so that the cover bends to a Change in capacitance in the differential condenser leads arrangement, which in turn as a measured variable serves.

Weitere bevorzugte Ausgestaltungen der Erfindung er­ geben sich aus den übrigen, in den Unteransprüchen genannten Merkmalen.He further preferred embodiments of the invention give up from the rest, in the subclaims mentioned features.

Zeichnungendrawings

Die Erfindung wird nachfolgend in Ausführungsbeispie­ len anhand der zugehörigen Zeichnungen näher erläu­ tert. Es zeigen:The invention is described below in exemplary embodiment len with reference to the accompanying drawings tert. Show it:

Fig. 1 bis 13 das erfindungsgemäße Herstellungsverfahren in einem Ausführungsbeispiel; Fig. 1 to 13 manufacturing method in an embodiment of the invention;

Fig. 14 bis 16 ein alternatives Herstellungsverfahren, beginnend nach der Deposition einer Ab­ scheideschicht gemäß Fig. 8; Fig. 14 to 16 an alternative manufacturing process, starting after the deposition of a layer according to distinguish from FIG. 8;

Fig. 17 und 18 eine weitere Ausgestaltung des Herstellungsverfahrens zur Erzeugung eines oberflächenmikromechanischen kapazitiven Drucksensors mit einer Torsionswippe; Fig. 17 and 18, a further embodiment of the production method for producing a surface-micromechanical capacitive pressure sensor with a Torsionswippe;

Fig. 19 und 20 eine weitere alternative Ausführungsform eines kapazitiven Drucksensors; Fig. 19 and 20 a further alternative embodiment of a capacitive pressure sensor;

Fig. 21 bis 23 eine alternative Vorgehensweise zur Beeinflussung der Permeabilität der Abscheide­ schicht mittels eines elektrochemischen Ätzvorganges; Fig. 21 to 23 an alternative approach for influencing the permeability of the deposition layer by means of an electrochemical etching process;

Fig. 24 bis 27 alternative Maskierungsstrukturen von Metallschichten, die bei einem galvani­ schen Verfahren zur Permeabilitätsein­ stellung der Abscheideschicht verwendbar sind;24 to 27 alternative masking structures of metal layers, the position of a galvanic process for Permeabilitätsein of the deposition layer can be used Fig.

Fig. 28 eine Abdeckung mit Stützelementen; FIG. 28 is a cover with support elements;

Fig. 29 eine Abdeckung mit Stützelementen und Ätzöffnungen; FIG. 29 is a cover with support elements and etching openings;

Fig. 30 eine Abdeckung mit Stützelementen und einem Kontaktierungsbereich für Bondpads; FIG. 30 is a cover having support members and a contact area for bonding pads;

Fig. 31 eine alternative Ausführungsform der Stützelemente; Fig. 31 shows an alternative embodiment of the support elements;

Fig. 32 bis 34 eine weitere Ausgestaltung des Herstellungsverfahrens zur Erzeugung einer me­ tallischen Versiegelung und eines metal­ lischen Kontaktpads und Fig. 32 to 34 another embodiment of the manufacturing process for producing a metallic seal and a metallic contact pads and

Fig. 34' eine alternative Ausführungsform mit einem Dielektrikum als Versiegelung und einem metallischen Kontaktpad. Fig. 34 'shows an alternative embodiment with a dielectric as a sealing and a metallic contact pad.

Die Fig. 1 bis 13 illustrieren das erfindungsge­ mäße Herstellungsverfahren für Sensoren, wie Be­ schleunigungssensoren oder Drehratensensoren und ins­ besondere auch kapazitive Drucksensoren. Dabei sind die in den Fig. 1 bis 4 skizzierten Verfahrens­ schritte bereits aus der DE 195 37 814 A1 bekannt und werden daher im Folgenden nur verkürzt wiedergegeben. Figs. 1 to 13 illustrate the erfindungsge Permitted manufacturing method of sensors such as acceleration sensors or Be rotation rate sensors and, in particular, capacitive pressure sensors. The method steps outlined in FIGS. 1 to 4 are already known from DE 195 37 814 A1 and are therefore only briefly reproduced below.

Definitionsgemäß ist im Weiteren eine Einteilung des Sensors in einen Grundwafer 11 und eine Dünnschicht- Sensorverkappung in Form einer Abdeckung 13 vorgenom­ men worden. Der Grundwafer 11 umfasst dabei alle zur Funktionalität des Sensors notwendigen Bauelemente, insbesondere noch näher zu erläuternde Kontaktberei­ che, mikromechanische Strukturen und Elektroden. Die Abdeckung 13 erstreckt sich definitionsgemäß begin­ nend von einer Abscheideschicht bis inklusive zu ei­ ner Abdichtungsschicht und dient der hermetischen Versiegelung eines Sensorraumes, in dem sich die mikromechanischen Strukturen befinden.According to the definition, a division of the sensor into a base wafer 11 and a thin-film sensor capping in the form of a cover 13 has been carried out. The base wafer 11 comprises all components necessary for the functionality of the sensor, in particular contact areas to be explained in more detail, micromechanical structures and electrodes. By definition, the cover 13 extends from a deposition layer up to and including a sealing layer and serves to hermetically seal a sensor space in which the micromechanical structures are located.

Auf einem Siliziumsubstrat 10 ist in bekannter Weise eine Isolationsschicht 12, die eine leitende Schicht 14 umschließt, aufgebracht. Eine Strukturierung der beiden Schichten 12 und 14 kann mittels bekannter, in der Halbleitertechnik verwendeter Verfahrensschritte, beispielsweise durch Lithografie und Ätzprozesse und folgende Ätzschritte, erfolgen. Des Weiteren wird ei­ ne polykristalline Siliziumschicht 16 mit gewünschter Schichtdicke aufgebracht, die die Isolationsschicht 12 abdeckt. Die Siliziumschicht 16 besteht üblicher­ weise aus Epipolysilizium, während die leitende Schicht 14 aus einem gegebenenfalls sehr hoch do­ tierten Polysilizium geformt ist (Fig. 1). An insulating layer 12 , which surrounds a conductive layer 14 , is applied in a known manner to a silicon substrate 10 . The two layers 12 and 14 can be structured by means of known method steps used in semiconductor technology, for example by lithography and etching processes and subsequent etching steps. Furthermore, a polycrystalline silicon layer 16 is applied with the desired layer thickness, which covers the insulation layer 12 . The silicon layer 16 usually consists of epipolysilicon, while the conductive layer 14 is formed from an optionally very highly doped polysilicon ( FIG. 1).

Durch Aufbringung einer Maskierungsschicht 18 wird ein Bereich 20 definiert, in dem in späteren Verfah­ rensschritten die mikromechanische Struktur erzeugt werden soll. Zunächst wird der Bereich durch einen Vorätzschritt vertieft (Vertiefung 20; Fig. 2).By applying a masking layer 18 , an area 20 is defined in which the micromechanical structure is to be generated in later procedural steps. First, the area is deepened by a pre-etching step (recess 20 ; FIG. 2).

In einem sich anschließenden Lithografieschritt ( Fig. 3) wird der derart vorstrukturierte Grundwafer 11 in der Vertiefung 20 mit einer Fotolackmaske 22 über­ zogen, die die zu erzeugenden Sensorstrukturen, bei­ spielsweise kapazitive Kammstrukturen, Federn, An­ schläge, Elektrodenflächen, Perforationen einer seis­ mischen Masse, vorgibt. Wesentlich ist es dabei, die eigentlichen Sensorstrukturen mit einem ausreichend großem Abstand von den Kanten der zuvor eingebrachten Vertiefung 20 auszuführen, da dort die Lithograliege­ nauigkeit und Auflösung durch die Topografieunter­ schiede sonst beeinträchtigt ist.In a subsequent lithography step ( FIG. 3), the base wafer 11 pre-structured in this way is coated in the recess 20 with a photoresist mask 22 which covers the sensor structures to be produced, for example capacitive comb structures, springs, impacts, electrode surfaces, perforations of a seis mixture , pretends. It is essential here to carry out the actual sensor structures with a sufficiently large distance from the edges of the previously introduced recess 20 , since there the lithography bed accuracy and resolution are otherwise impaired by the topography differences.

Wie aus der Fig. 4 ersichtlich, werden in den nicht durch den Fotolack 22 abgedeckten Bereichen durch be­ kannte, geeignete Ätzverfahren, zum Beispiel nach Art der DE 42 41 045, Trenchgräben 24, die sich bis zur Isolationsschicht 12 erstrecken, eingebracht. Auf diese Weise werden aus der Siliziumschicht 16 ein­ zelne Strukturen 26 isoliert. Auf das Design der­ artiger Strukturen 26 soll - da bekannt - im Zusam­ menhang mit dieser Beschreibung nicht näher ein­ gegangen werden.As can be seen from Fig. 4, in the areas not covered by the photoresist 22 by known, suitable etching methods, for example in the manner of DE 42 41 045, trench trenches 24 which extend to the insulation layer 12 are introduced. In this way, a single structure 26 is isolated from the silicon layer 16 . As is known, the design of the like structures 26 should not be discussed in more detail in connection with this description.

Anschließend erfolgt eine Opferschichtätzung über die Trenchgräben 24 im Bereich der Isolationsschicht 12 und es wird ein Hohlraum 26 erzeugt (Fig. 5). Der Hohlraum 26 und die Trenchgräben 24 bilden zusammen­ gefasst einen Sensorraum 28, in dem die Strukturen 26 untergebracht sind. Die Opferschichtätzung kann bei­ spielsweise über einen HF-Dampfätzprozess oder aber auch trockene Opferschichtätzung mit Silizium als Opferschicht in Verbindung mit einem modifizierten Schichtsystem erfolgen. Die Lackmaske 22 wurde vor Abschluss der Opferschichtätzung entfernt. Im Falle einer trockenen Opferschichtätzung mit Silizium als Opferschicht wird die Maskierungsschicht 18 nach Ab­ schluss der Opferschichtätzung entfernt.Subsequently, a sacrificial layer is etched via the trench trenches 24 in the region of the insulation layer 12 and a cavity 26 is created ( FIG. 5). The cavity 26 and the trench trenches 24 together form a sensor space 28 in which the structures 26 are accommodated. The sacrificial layer etching can be carried out, for example, using an HF steam etching process or else dry sacrificial layer etching using silicon as the sacrificial layer in conjunction with a modified layer system. The resist mask 22 was removed before the sacrificial layer etching was completed. In the case of a dry sacrificial layer etching with silicon as the sacrificial layer, the masking layer 18 is removed after the sacrificial layer etching has ended.

Die gesamte Struktur wird in dem gemäß der Fig. 6 skizzierten Verfahrensschritt mit einem Oxid 30 auf Siliziumbasis, insbesondere einem CVD-Oxid oder einem porösen Oxid, aufgefüllt. Das vorzugsweise hochporöse Oxid 30 muss sich mit extrem hoher Ätzrate durch flusssäurehaltige Medien wieder entfernen lassen. Die Abscheidebedingungen für das Oxid 30 sind also so zu wählen, dass ein minderwertiges Oxid 30 mit hoher Po­ rosität entsteht. Dies sind gleichzeitig die Abschei­ debedingungen, unter denen mit möglichst hoher Rate abgeschieden wird, was den Vorteil kurzer Oxid-Depo­ sitionszeiten mit sich bringt. Die Parameter zur Ge­ staltung der Abscheidebedingungen derartiger minder­ wertiger Oxide mit hoher Porosität sind aus dem Stand der Technik bekannt. So lässt sich beispielsweise durch hohe Plasmaleistungen während der Deposition, während hoher Prozessdrücke und niedriger Substrat­ temperaturen (beispielsweise 200°C bis 300°C) die gewünschte Oxidschicht 30 abscheiden. The entire structure is filled in the process step outlined in FIG. 6 with an oxide 30 based on silicon, in particular a CVD oxide or a porous oxide. The preferably highly porous oxide 30 must be able to be removed again with an extremely high etching rate using media containing hydrofluoric acid. The deposition conditions for the oxide 30 are therefore to be chosen so that an inferior oxide 30 with high porosity is formed. At the same time, these are the deposition conditions under which deposition is carried out at the highest possible rate, which has the advantage of short oxide deposition times. The parameters for designing the deposition conditions of such inferior oxides with high porosity are known from the prior art. For example, the desired oxide layer 30 can be deposited by high plasma powers during the deposition, during high process pressures and low substrate temperatures (for example 200 ° C. to 300 ° C.).

Der nächste Verfahrensschritt umfasst ein Rückdünnen des Oxids 30 auf die Höhe der Siliziumschicht 16 (Fig. 7), was beispielsweise durch Schleifen (CMP - chemo mechanical polishing) oder ein einschlägig be­ kanntes Rückätzverfahren erfolgen kann. Gemäß dem Ausführungsbeispiel wird das Oxid 30 bis zur Höhe der Schicht 16 abgetragen.The next process step involves thinning back the oxide 30 to the height of the silicon layer 16 ( FIG. 7), which can be done, for example, by grinding (CMP - chemo mechanical polishing) or a known etching back process. According to the exemplary embodiment, oxide 30 is removed up to the level of layer 16 .

Nachfolgend wird eine Abscheideschicht 32, insbeson­ dere aus Polysilizium, ganzflächig als eine Abschei­ deschicht abgeschieden (Fig. 8). Zur Vermeidung ei­ ner Verdichtung des hochporösen Oxids 30 ist es vor­ teilhaft, die Temperaturen bei dieser Deposition mög­ lichst gering zu halten. Bei den für die Polysili­ ziumabscheidung üblichen Temperaturen von beispiels­ weise 600°C bis 650°C tritt noch keine wesentliche Verdichtung des Oxids 30 ein. Alternativ dazu ist es möglich, ein kurzes Erhitzen des Grundwafers 11 auf Temperaturen von über 1000°C durchzuführen, ohne das Oxid 30 zu verdichten, wenn dieses Erhitzen nur kurz geschieht (beispielsweise bei weniger als 10 min Dauer). Das Tempern der Abscheideschicht 32 kann vor­ teilhaft mit Hilfe eines RTP- oder RTA-Reaktors (RTP = rapid thermal processing/RTA = rapid thermal annealing) durchgeführt werden. Wird Epipolysilizium als Abscheideschicht 32 abgeschieden, so kann diese Abscheidung in einem Epitaxiereaktor mit hoher Ab­ scheiderate von bis zu 1 µm/min durchgeführt werden, um die Abscheidezeiten kurz zu halten. Durch eine solche kurzzeitige hohe Temperaturbehandlung des Polysiliziums kann zum Beispiel erreicht werden, dass die Schicht 32 eine sehr hohe intrinsische Zugspan­ nung von beispielsweise über 200 MPa/µm bis 1 GPa/µm Schichtdicke enthält, was für die Applikation der Schicht 32 als Abdeckung 13 sehr vorteilhaft ist. Infolge dieser hohen Zugspannung kann die Schicht 32 auch einem von außen angelegten hohen Druck stand­ halten, ohne sich stark nach innen zu verwölben.Subsequently, a deposition layer 32 , in particular made of polysilicon, is deposited over the entire surface as a deposition layer ( FIG. 8). To avoid compression of the highly porous oxide 30 , it is advantageous to keep the temperatures at this deposition as low as possible. At the usual temperatures for the polysilicon deposition of, for example, 600 ° C. to 650 ° C., there is still no substantial compression of the oxide 30 . Alternatively, it is possible to briefly heat the base wafer 11 to temperatures above 1000 ° C. without compressing the oxide 30 if this heating occurs only briefly (for example with a duration of less than 10 minutes). The annealing of the deposition layer 32 can be carried out before with the aid of an RTP or RTA reactor (RTP = rapid thermal processing / RTA = rapid thermal annealing). If epipolysilicon is deposited as the deposition layer 32 , this deposition can be carried out in an epitaxy reactor with a high deposition rate of up to 1 μm / min in order to keep the deposition times short. Such a brief high-temperature treatment of the polysilicon can, for example, achieve that the layer 32 contains a very high intrinsic tensile stress of, for example, over 200 MPa / μm to 1 GPa / μm layer thickness, which is very important for the application of the layer 32 as a cover 13 is advantageous. As a result of this high tensile stress, the layer 32 can also withstand a high pressure applied from the outside without bulging inward.

In einem sich anschließenden optionalen Prozess­ schritt kann die Abscheideschicht 32, beispielsweise durch Ätzung, strukturiert werden (Fig. 9). Letzt­ endlich werden dann nur noch die Bereiche des Grund­ wafers 11 von der Abscheideschicht 32 bedeckt, unter denen sich später die mikromechanischen Strukturen 26 innerhalb des Sensorraumes 28 befinden sollen. Es ist auch möglich, die Abdeckung 13 unstrukturiert zu ver­ wenden. In diesem Fall bedeckt die Abdeckung 13 die gesamte Waferoberfläche. Der Vorteil dabei ist, dass eine planare Oberfläche des Wafers erhalten bleibt.In a subsequent optional process step, the deposition layer 32 can be structured, for example by etching ( FIG. 9). Finally, only the areas of the base wafer 11 are then covered by the deposition layer 32 , under which the micromechanical structures 26 are later to be located within the sensor space 28 . It is also possible to use the cover 13 unstructured. In this case, the cover 13 covers the entire wafer surface. The advantage here is that a planar surface of the wafer is retained.

Durch selektive Ätzung des Oxids 30 unterhalb der Ab­ scheideschicht 32 ist es möglich, die Strukturen 26 wieder freizulegen (Fig. 10). Notwendigerweise muss dazu die Abscheideschicht 32 durchlässig, permeabel für das verwendete Ätzmedium als auch für die während der Reaktion entstehenden Produkte sein. Beispiels­ weise kann dazu das Polysilizium der Abscheideschicht 32 mit kleinen Ätzöffnungen durch gezielte Ätzung in später noch näher zu erläuternder Art und Weise ver­ sehen werden. Je nach Art des verwendeten Ätzprozes­ ses können dabei Ätzöffnungen mit Durchmessern von zirka 0,1 µm bis 5 µm erzeugt werden. Alternativ hierzu kann die Abscheideschicht 32 aus einem perme­ ablen Polysilizium oder einem nachträglich durch Porosifizierung permeabel gemachten Polysilizium be­ stehen, das den Durchtritt des Ätzmediums beziehungs­ weise der Reaktionsprodukte ermöglicht. Im Zusammen­ hang mit Flusssäuredampfätzverfahren hat sich diese Variante als besonders vorteilhaft erwiesen, da der Flusssäuredampf besonders leicht Polysilizium durch­ dringen kann und Diffusionsvorgänge für die beteilig­ ten Spezies durch das Polysilizium hindurch speziell bei erhöhten Temperaturen beschleunigt ablaufen. Die in-situ Permeabilität des Polysiliziums ist insbeson­ dere bei niedrigen Dotierstoffkonzentrationen hoch, so lange eine langandauernde Hochtemperaturbehandlung (Tempern) vermieden wird. Es ist auch möglich eine Kombination aus HF-Flüssigphasenätzen und HF-Dampfät­ zen für die Entfernung der Opferschicht einzusetzen. Dabei wird in der Nassätzphase (HF-Lösung) zuerst der Großteil des Oxids 30 entfernt. In der anschließenden Dampfätzung wird ohne Stickingrisiko der Rest des Oxids 30 entfernt, so dass man einen insgesamt schnelleren Prozess erhält.By selective etching of the oxide 30 below the deposition layer 32 , it is possible to expose the structures 26 again ( FIG. 10). For this purpose, the deposition layer 32 must necessarily be permeable, permeable to the etching medium used and also to the products formed during the reaction. For example, the polysilicon of the deposition layer 32 can be seen with small etching openings by targeted etching in a manner to be explained in more detail later. Depending on the type of etching process used, etching openings with diameters of approximately 0.1 µm to 5 µm can be created. As an alternative to this, the deposition layer 32 can be made of a permeable polysilicon or a polysilicon made permeable subsequently by porosification, which enables the passage of the etching medium or the reaction products. In connection with hydrofluoric acid vapor etching processes, this variant has proven to be particularly advantageous, since the hydrofluoric acid vapor can penetrate polysilicon particularly easily and diffusion processes for the species involved take place accelerated through the polysilicon, especially at elevated temperatures. The in-situ permeability of the polysilicon is particularly high at low dopant concentrations, as long as long-term high-temperature treatment (annealing) is avoided. It is also possible to use a combination of HF liquid phase etching and HF steam etching to remove the sacrificial layer. The majority of the oxide 30 is first removed in the wet etching phase (HF solution). In the subsequent steam etching, the rest of the oxide 30 is removed without the risk of sticking, so that an overall faster process is obtained.

Nach dem Abschluss des Opferschicht-Ätzprozesses wird die Abscheideschicht 32 durch Abscheidung einer Ab­ dichtungsschicht 34 hermetisch verschlossen (Fig. 11). Als besonders vorteilhaft hat es sich dabei er­ wiesen, eine Siliziumnitridschicht als Abdichtungs­ schicht 34 aufzubringen, da diese bereits bei relativ niedrigen Temperaturen von 300°C bis 400°C in PECVD-Prozessen abgeschieden werden können. Alterna­ tiv hierzu kann die Versiegelung auch durch Abschei­ dung von Metallen, wie beispielsweise Aluminium, er­ folgen, wofür sich insbesondere Sputterprozesse eig­ nen.After completion of the sacrificial layer etching process, the deposition layer 32 is hermetically sealed by deposition of a sealing layer 34 ( FIG. 11). It has proven to be particularly advantageous to apply a silicon nitride layer as a sealing layer 34 , since these can be deposited in PECVD processes even at relatively low temperatures of 300 ° C. to 400 ° C. As an alternative to this, sealing can also take place by deposition of metals, such as aluminum, for which sputtering processes are particularly suitable.

In Abhängigkeit von dem für die Abdichtungsschicht 34 gewählten Material kann anschließend eine Strukturie­ rung der Abdeckung 13, beispielsweise mit Hilfe foto­ lithografischer Prozesse, erfolgen. Ist das gewählte Material ein Metall (Fig. 12), so können durch diese Strukturierungen gleichzeitig die Kontaktpads 36 ge­ bildet werden. Im Falle eines dielektrischen Materi­ als muss die Abdichtungsschicht 34 außerhalb des Ver­ kappungsbereiches vollständig entfernt werden und die Kontaktpads 36 werden in einem gesonderten Verfah­ rensschritt auf der Siliziumschicht 16 beziehungswei­ se der Abscheideschicht 32 (falls diese ganzflächig unstrukturiert verwendet wird) aufgebracht (Fig. 13). Die gewählte Schichtdicke der Abdichtungsschicht 34 hängt im Wesentlichen von der Permeabilität, Poro­ sität beziehungsweise von dem Durchmesser der Ätzöff­ nungen der Abscheideschicht 32 ab und selbstverständ­ lich wird zum Verschließen der Ätzöffnungen eine dickere Abdichtungsschicht 34 benötigt.Depending on the material chosen for the seal layer 34 material may be followed by a structuring tion of the cover 13, for example, using photo lithographic processes take place. If the selected material is a metal ( Fig. 12), the contact pads 36 can simultaneously be formed by these structures. In the case of a dielectric material, the sealing layer 34 must be completely removed outside the capping area and the contact pads 36 are applied in a separate process step to the silicon layer 16 or the deposition layer 32 (if this is used over its entire area unstructured) ( FIG. 13) . The selected layer thickness of the sealing layer 34 essentially depends on the permeability, porosity or on the diameter of the etching openings of the deposition layer 32 and, of course, a thicker sealing layer 34 is required to close the etching openings.

Die Fig. 14 bis 16 zeigen eine alternative Pro­ zessführung, beginnend mit der in der Fig. 8 gezeig­ ten Deposition der Abscheideschicht 32. Zunächst wird in bereits erläuterter Weise das Oxid 30 durch die Abscheideschicht 32 weggeätzt (Fig. 14). Anschlie­ ßend wird mittels der Abdichtungsschicht 34 der Sen­ sorraum 28 hermetisch versiegelt (Fig. 15). Auch hier muss die Schichtdicke entsprechend den für den Durchtritt des Ätzmediums beziehungsweise der Reak­ tionsprodukte eingestellten Eigenschaften der Ab­ scheideschicht 32 gewählt werden. Anschließend wird gemäß der Fig. 16 durch ein maskiertes Ätzverfahren sowohl die Abscheideschicht 32 als auch die Abdich­ tungsschicht 34 entfernt und die Kontaktpads 36 in bekannter Weise auf die Siliziumschicht 16 aufge­ bracht. Insgesamt kann damit eine Maskenebene einge­ spart werden, da die beiden Schichten 32, 34 mit ein und derselben Maske strukturiert werden. Im Falle der Verwendung von permeablen Polysilizium als Abscheide­ schicht 32 muss nach der Strukturierung noch ein aus­ reichender Überstand gewährleistet sein, damit eine Diffusion von Gasen über die Randbereiche unterbunden werden kann. In der Praxis hat es sich als ausrei­ chend gezeigt, wenn die permeable Polysiliziumschicht mit der Epipolysiliziumschicht 16 um mindestens 50 µm überlappt. Figs. 14 to 16 show an alternative Pro zessführung, starting with the in the Fig. 8 gezeig th deposition of the deposition layer 32nd First, the oxide 30 is etched away by the deposition layer 32 in the manner already explained ( FIG. 14). Subsequently, the sensor chamber 28 is hermetically sealed by means of the sealing layer 34 ( FIG. 15). Here too, the layer thickness must be selected in accordance with the properties of the deposition layer 32 set for the passage of the etching medium or the reaction products. FIG removed and the contact pads 36 positioned by a masked etching process, both the deposition layer 32 and the waterproofing tung layer 34 in known manner to the silicon layer 16 is then in accordance. 16 introduced. Overall, one mask level can thus be saved since the two layers 32 , 34 are structured with one and the same mask. If permeable polysilicon is used as the deposition layer 32 , a sufficient protrusion must be ensured after the structuring so that a diffusion of gases over the edge regions can be prevented. In practice, it has been shown to be sufficient if the permeable polysilicon layer overlaps the epipolysilicon layer 16 by at least 50 μm.

In einer weiteren, bereits erwähnten vorteilhaften Ausführungsform unterbleibt die Strukturierung der Abscheideschicht 32 und der Abdeckschicht 34 bis zur beziehungsweise bis unmittelbar vor Abscheidung und Strukturierung der Metallpads 36 (siehe Fig. 32 bis 34, 34'). Erst unmittelbar vor Abscheidung der Metallisierung 36 wird eine Ätzung der Abdichtungs­ schicht 34 unmittelbar im Bereich der Bondpads und um diese herum vorgenommen, um die Bondbereiche frei von isolierenden Schichten zu machen. In besonders vor­ teilhafter Ausführungsform dient die Metallschicht 36 für die Bondpads gleichzeitig auch als Abdichtungs­ schicht 34. Im letzteren Fall muss folglich die Ab­ dichtungsschicht 34 nach deren ganzflächiger Abschei­ dung um die Bondpads herum geöffnet werden, um dort ein Durchätzen der Abscheideschicht 32 und damit die Erzeugung elektrisch isolierter Kontaktpads 36 zu er­ möglichen.In a further advantageous embodiment already mentioned, the structuring of the deposition layer 32 and the cover layer 34 is omitted until or until immediately before the deposition and structuring of the metal pads 36 (see FIGS. 32 to 34, 34 '). Only immediately before the deposition of the metallization 36 is there an etching of the sealing layer 34 directly in the area of the bond pads and around them, in order to make the bond areas free of insulating layers. In a particularly advantageous embodiment, the metal layer 36 also serves as a sealing layer 34 for the bond pads. In the latter case, the sealing layer 34 must consequently be opened after its full-surface deposition around the bond pads in order to allow the deposition layer 32 to be etched through and thus the generation of electrically insulated contact pads 36 .

In einer weiteren Variante ist auch die Epipolysili­ ziumschicht 16 im Bereich der Kontaktpads 36 zunächst noch nicht durchgeätzt, sondern dort noch ganzflächig vorhanden. Bei der Herstellung der Sensorstrukturen 26 durch anisotropes Tiefenätzen werden also die Bondbereiche zunächst ausgespart. Erst beim Freiätzen der Kontaktpads 36, dass heißt auch Durchätzen der Abscheideschicht 32 und der Abdichtungsschicht 34, falls diese nicht identisch zur Metallisierungs­ schicht der Kontaktpads 36 ist, wird die Epipoly­ siliziumschicht 16 um die Bondpads herum bis zum vergrabenen Oxid 12 durchgeätzt. Dabei kann derselbe Tiefenätzprozess sowohl für die Siliziumschicht 32 als auch für die Epipolysiliziumschicht 16 verwendet werden. Es findet also ein "Doppeltrench"-Prozess statt, bei dem ein erster Tieftrench für die Sensorstrukturen 26 selbst und ein zweiter Tieftrench für die Bondpadbereiche später im Prozessfluss durch­ geführt wird. In beiden Varianten erfolgt der elek­ trische Anschluss der Sensorstrukturen 26 über die Kontaktpads 36 durch die Abscheideschicht 32 und die Schicht 16 hindurch. Die Abscheideschicht 32 muss also eine ausreichende elektrische Leitfähigkeit auf­ weisen, um den flächigen elektrischen Kontakt zu er­ möglichen, was aber in der Praxis mittels einer aus­ reichenden Dotierung selbst bei dickeren Abscheide­ schichten 32 kein Problem darstellt. Die vorgenannten Varianten lassen sich zur Verdeutlichung den Zeich­ nungen 32 bis 34, bei der ein Metall als Versiegelung und Bondpad eingesetzt wird, beziehungsweise der Zeichnung 34', bei der ein Dielektrikum als Versiege­ lung und Metall als Bondpad verwendet wird, ent­ nehmen.In a further variant, the epipolysilium layer 16 in the region of the contact pads 36 is initially not etched through but is still present over the entire area. When the sensor structures 26 are produced by anisotropic deep etching, the bond areas are initially left out. Only when the contact pads 36 are etched free, which also means etching through the deposition layer 32 and the sealing layer 34 , if this is not identical to the metallization layer of the contact pads 36 , is the epipoly silicon layer 16 etched through around the bond pads up to the buried oxide 12 . The same deep etching process can be used for both the silicon layer 32 and the epipolysilicon layer 16 . A "double trench" process therefore takes place, in which a first deep trench for the sensor structures 26 themselves and a second deep trench for the bond pad areas are carried out later in the process flow. In both variants, the electrical connection of the sensor structures 26 takes place via the contact pads 36 through the deposition layer 32 and the layer 16 . The deposition layer 32 must therefore have sufficient electrical conductivity to enable it to make extensive electrical contact, but this is not a problem in practice by means of sufficient doping even with thicker deposition layers 32 . The above-mentioned variants can be seen from the drawings 32 to 34, in which a metal is used as a seal and bond pad, or the drawing 34 ', in which a dielectric is used as a seal and metal as a bond pad.

Die in den Fig. 15 beziehungsweise 11 skizzierten Prozessschritte, in denen die Abdichtungsschicht 34 aufgebracht wird, lassen sich besonders vorteilhaft zur Einstellung eines gewünschten Innendruckes inner­ halb des Sensorraumes 28 nutzen. Der einstellbare Druckbereich liegt dabei bei Drücken von wenigen Mi­ krobar bis zu Atmosphärendruck. Im Gegensatz zu den herkömmlichen Verfahren kann durch das sehr rasche Versiegeln die Toleranz bei der Druckeinstellung re­ lativ gering gehalten werden. Zur Einstellung des Druckes kann wie folgt verfahren werden:
In einer Ausführungsform mit permeabler Abscheide­ schicht 32 (in-situ permeabel oder nachträglich porös gemacht, um Permeabilität zu erzeugen) wird nach dem Einbringen des bis zum Aufbringen der Abdichtungs­ schicht 34 bereits fertig prozessierten Grundwafers 11 in eine Prozesskammer zur Deposition der Abdich­ tungsschicht 34 zunächst auf eine Temperatur zwischen 300°C bis 450°C erhitzt und gleichzeitig anstelle von Prozessgasen ein Inertgas unter einem gegebenen Druck der Prozesskammer zugeführt. Als Inertgas eig­ net sich beispielsweise Helium, da dies besonders rasch durch die in-situ permeabel oder durch Porosi­ fizierung permeabel gemachte Abscheideschicht 32 dif­ fundieren kann, damit eine schnelle Gleichgewichts­ einstellung (Innendruck Außendruck) sensorseitig möglich ist. Erst anschließend werden die für die De­ position der Abdichtungsschicht 34 notwendigen Pro­ zessgase zugeführt und das Depositionsplasma gezün­ det. Bei Verwendung permeablen Polysiliziums (in-situ permeabel oder durch Porosifizierung permeabel ge­ macht) vergehen in der Zeit zwischen dem Verlassen des gewünschten abzuschließenden Druckes in der Pro­ zesskammer und der Deposition einer ausreichend dicken Abdichtungsschicht 34 nur wenige Sekunden.
The process steps outlined in FIGS. 15 and 11, in which the sealing layer 34 is applied, can be used particularly advantageously for setting a desired internal pressure within the sensor chamber 28 . The adjustable pressure range is from pressures from a few microbars to atmospheric pressure. In contrast to the conventional methods, the very rapid sealing allows the tolerance in the pressure setting to be kept relatively low. To set the pressure, proceed as follows:
In an embodiment with permeable deposition layer 32 (made in-situ permeable or subsequently porous to generate permeability) is after the introduction to the application of the sealing layer 34 already completely processed base wafer 11 in a process chamber for depositing the waterproofing tung layer of the 34 first heated to a temperature between 300 ° C to 450 ° C and at the same time fed an inert gas under a given pressure to the process chamber instead of process gases. Helium, for example, is suitable as an inert gas, since this can be differentiated particularly quickly by the in-situ permeable or porosification made separating layer 32 so that a quick equilibrium adjustment (internal pressure, external pressure) is possible on the sensor side. Only then be used for the de of the sealant layer 34 position necessary Pro zessgase supplied and det gezün the deposition plasma. When using permeable polysilicon (permeable in-situ or made permeable by porosification), only a few seconds pass between the leaving of the desired pressure to be sealed in the process chamber and the deposition of a sufficiently thick sealing layer 34 .

Bei bekannter Schichtdicke und Permeabilität der Abscheideschicht 32 ist die in dieser Zeit zu er­ wartende Druckänderung berechenbar, so dass ent­ sprechende Vorhalte eingeplant werden können. Es ist außerdem möglich, den Depositionsdruck zunächst beim für die Verkappung gewünschten eingeschlossenen Druck zu belassen und den Depositionsprozess bereits früh­ zeitig noch in Gegenwart des Inertgases zu starten. Erst nach Initiierung des Depositionsprozesses wird der Kammerdruck in den für die Deposition eigentlich optimalen Druckbereich nachgeregelt. Dadurch verläuft der Depositionsprozess zwar über einige Sekunden nicht optimal und gelangt erst nach der erfolgten Druckanpassung in seinen günstigsten Arbeitsbereich, andererseits wird bei dieser Vorgehensweise aber die Zeit zwischen dem Verlassen des Verkappungsdruckes und der erfolgten hermetischen Abdichtung des Sensorelementes verkürzt. Zur experimentellen Bestim­ mung des eingeschlossenen Druckes und zur Verfahrens­ kontrolle kann die Membranverwölbung, beispielsweise interferometrisch, ausgewertet werden oder Gütepara­ meter der eingeschlossen Strukturen 26 durch reso­ nante Anregung ermittelt werden. Eine Qualitätskon­ trolle für das Verfahren ist somit in einfacher Weise möglich.If the layer thickness and permeability of the deposition layer 32 are known , the pressure change to be expected during this time can be calculated, so that appropriate provisions can be planned. It is also possible to initially leave the deposition pressure at the enclosed pressure desired for the capping and to start the deposition process early in the presence of the inert gas. Only after initiation of the deposition process is the chamber pressure readjusted to the pressure range that is actually optimal for the deposition. As a result, the deposition process does not run optimally for a few seconds and only reaches its most favorable working range after the pressure has been adjusted, but on the other hand, this procedure shortens the time between leaving the capping pressure and the hermetic sealing of the sensor element. For experimental determination of the enclosed pressure and for process control, the membrane curvature can be evaluated, for example interferometrically, or quality parameters of the enclosed structures 26 can be determined by means of resonant excitation. A quality control for the process is thus possible in a simple manner.

Als besonders günstig hat es sich erwiesen, diese Prozessführung für die Herstellung oberflächenmikro­ mechanischer kapazitiver Drucksensoren zu nutzen. So zeigen die Fig. 17 und 18 beziehungsweise 19 und 20 zwei mögliche Ausführungsformen derartiger Druck­ sensoren. Zunächst wird gemäß den Fig. 17 und 19 wieder eine permeable oder nachträglich durch Ätzen permeabel gemachte Schicht 32 - gegebenenfalls struk­ turiert - oberhalb des mit dem Oxid 30 gefüllten Sen­ sorraumes 28 abgeschieden. Anschließendes Ausätzen des Oxids 30 und Versiegeln mit der Abdichtungs­ schicht 34 führt zu den in den Fig. 18 und 20 ge­ zeigten Applikationsformen.It has proven to be particularly advantageous to use this process control for the production of surface-micro-mechanical capacitive pressure sensors. Thus, FIGS. 17 and 18 and sensors 19 and 20, two possible embodiments of such pressure. Above the filled with the oxide 30 deposited Sen sorraumes 28 - First, as shown in FIGS 17 and 19 again a permeable or permeable subsequently made by etching layer 32 -. Optionally tured constructive. Subsequent etching of the oxide 30 and sealing with the sealing layer 34 leads to the application forms shown in FIGS. 18 and 20.

Im ersteren Fall wird in dem Drucksensor eine Torsi­ onswippe 39, die über ein Kupplungselement 42 mit der Abdeckung 13 verbunden ist, implementiert. Dabei ist eine seismische Masse 38 symmetrisch über Torsionsfe­ dern 40 mittig zu beiden Seiten aufgehängt - analog einer Hebelwaage. Die Masse 32 ist für die Durchfüh­ rung der Opferschichtätzung perforiert, wobei die Perforation hier nicht eingezeichnet ist. Nach der Abscheidung und Planarisierung des Oxids 30 wird etwas außerhalb der Mitte der Torsionswippe 39 ein Loch in das Oxid 30 eingebracht mittels Fototechnik und Ätzen des Oxids 30. Darüber kann zum Beispiel einfach die Abscheideschicht 32 vorteilhaft als permeable Polysiliziumschicht abgeschieden und/oder nachträglich durch Ätzprozesse, zum Beispiel Poro­ sifizierung, permeabel gemacht werden, welche im zu­ vor angelegten "Kontaktloch" im Oxid 30 das Silizium der Wippe 39 direkt mechanisch und elektrisch kontak­ tiert. Wird später eine elektrische Isolation der Sensormembran von der Torsionswippe 39 gewünscht, beispielsweise aus Gründen der elektrischen Abschir­ mung gegenüber der Umgebung, so kann vor der Polysi­ liziumabscheidung eine isolierende Schicht abgeschie­ den werden, welche von der nachfolgend zur Opferoxid­ ätzung eingesetzten HF-Dampfchemie nicht angegriffen wird. Hierzu eignet sich beispielsweise eine Schicht von amorphen Siliziumkarbid, welches resistent gegen­ über flusssäurehaltigen Medien und auch HF-Dampf ist. Diese Schicht kann nach der konformen Abscheidung über dem Kontaktloch im Oxid entweder durch einen maskierten Ätzprozess so strukturiert werden, dass nur das Kupplungselement 42 übrig bleibt, oder aber derart bearbeitet werden, dass nach einem Schleif­ prozess das Kupplungselement 42 eingeschlossen vom Oxid 30 erhalten bleibt. Selbstverständlich kann in diesem Fall die Prozessreihenfolge auch reversiert werden, das heißt zuerst Anlegen des Kupplungs­ elementes 42 (beispielsweise aus amorphen Silizium­ karbid), dann Abscheiden und Planarisieren des Füll­ oxids und dann Abscheiden und Planarisierung der ge­ samten Abdeckung 13 unter Berücksichtigung der vorab gemachten Druckeinstellungsprozessparameter. In the former case, a torsion rocker 39 , which is connected to the cover 13 via a coupling element 42 , is implemented in the pressure sensor. A seismic mass 38 is suspended symmetrically over torsion springs 40 in the middle on both sides - analogous to a lever balance. The mass 32 is perforated for the implementation of the sacrificial layer etching, the perforation not being shown here. After the oxide 30 has been deposited and planarized, a hole is made in the oxide 30 somewhat outside the center of the torsion rocker 39 by means of photo technology and etching the oxide 30 . In addition, for example, the deposition layer 32 can advantageously be deposited advantageously as a permeable polysilicon layer and / or subsequently made permeable by etching processes, for example porosification, which directly and mechanically and electrically contacts the silicon of the rocker 39 in the “contact hole” in the oxide 30 that was previously created animals. If later electrical insulation of the sensor membrane from the torsion rocker 39 is desired, for example for reasons of electrical shielding from the environment, an insulating layer can be deposited before the polysilicon deposition, which is not attacked by the HF vapor chemistry subsequently used for sacrificial oxide etching becomes. A layer of amorphous silicon carbide, for example, which is resistant to media containing hydrofluoric acid and also HF steam, is suitable for this purpose. This layer may according to the conformal deposition over the via hole in the oxide either be structured by a masked etching process so that only the coupling element 42 remains, or can be processed so as enclosed by a grinding process, the coupling element 42 is retained by the oxide 30th Of course, in this case the process order can also be reversed, that is to say first of all by applying the coupling element 42 (for example made of amorphous silicon carbide), then separating and planarizing the fill oxide and then separating and planarizing the entire cover 13 taking into account the pressure setting process parameters made in advance .

Durch die beiden Herstellungsvarianten des Kupplungs­ elementes 42 - der einfachen Polysiliziumabscheidung mit mechanischer Verbindung über das Polysilizium, welches selbstständig das Kontaktloch im Oxid 30 auf­ füllt und so den Kraftschluss mit der Wippe 39 her­ stellt, oder der expliziten Abscheidung und Herstel­ lung eines elektrisch isolierten Kupplungselementes 42 mittels einer Zusatzschicht - wird eine mechani­ sche Verbindung geschaffen zwischen der Abdeckung 13 und der Torsionswippe 39.Through the two manufacturing variants of the coupling element 42 - the simple polysilicon deposition with mechanical connection via the polysilicon, which automatically fills the contact hole in the oxide 30 and thus creates the frictional connection with the rocker 39 ago, or the explicit deposition and manufacture of an electrically insulated coupling element 42 by means of an additional layer - a mechanical connection is created between the cover 13 and the torsion rocker 39 .

Aufgrund der Biegeform der druckbeaufschlagten Ab­ deckung 13 ist es vorteilhaft, das Kupplungselement 42 zwischen Torsionsachse und Deckplattenmitte zu plazieren, also zum Beispiel - wie in den Abb. 17, 18 erkennbar - rechts von der Torsionsachse der Wippe 39 und links von der Membranmitte. Wird die Membran nämlich druckbeaufschlagt, stellt sich eine Biegelinie als Doppel-S-Form ein, welche die rechte Hälfte der Wippe 39 nach unten drückt, entsprechend kommt die linke Hälfte der Wippe 39 nach oben. Bei Vorliegen zweier Gegenelektroden (aus der Leitschicht 14 strukturiert) unterhalb der Wippe 39 kann die Ka­ pazitätsänderung als Differenzkapazität mittels einer geeigneten Auswerteelektronik verarbeitet werden. Die elektrische Verdrahtung des Sensorbauteiles geschieht in der unteren Ebene durch die dort vergrabene lei­ tende Schicht 14. Ein solcher Sensor nach dem be­ schriebenen Aufbau weist aufgrund seiner Symmetrie und der kapazitiven Auswertung durch Differentialkon­ densatoranordnung eine vorteilhaft geringe Tempera­ turdrift auf, so dass ein teurer Abgleich und Tempe­ raturkompensation entfallen können.Due to the bending shape of the pressurized cover 13 , it is advantageous to place the coupling element 42 between the torsion axis and the center of the cover plate, for example, as can be seen in FIGS. 17, 18, to the right of the torsion axis of the rocker 39 and to the left of the diaphragm center. If the membrane is pressurized, a double S-shape bend line is set which presses the right half of the rocker 39 downwards, and accordingly the left half of the rocker 39 comes up. In the presence of two counter electrodes (structured from the conductive layer 14 ) below the rocker 39 , the change in capacitance can be processed as a differential capacitance by means of suitable evaluation electronics. The electrical wiring of the sensor component takes place in the lower level through the buried layer 14 there . Such a sensor according to the structure described be due to its symmetry and the capacitive evaluation by Differentialkon capacitor arrangement an advantageously low temperature turdrift, so that an expensive adjustment and temperature compensation can be omitted.

Wird auf die explizite Differentialkondensatoranord­ nung verzichtet, bietet sich ein einfacher Prozess und ein Design nach Art der Fig. 19 und 20 an. Die seismische Masse 44 ist direkt an die Abscheide­ schicht 32 gebunden. Ebenfalls kann über die vorste­ hend beschriebene Art und Weise ein Referenzdruck, bevorzugterweise mittels Heliumgas, im Sensorraum 28 eingeschlossen und die Struktur durch Abscheidung der Abdichtungsschicht 34 hermetisch versiegelt werden.If the explicit differential capacitor arrangement is dispensed with, a simple process and a design according to the type of FIGS. 19 and 20 are available. The seismic mass 44 is bonded directly to the separating layer 32 . Also, on the basis vorste described manner, a reference pressure, preferably by means of helium gas enclosed in the sensor chamber 28 and the structure can be sealed by deposition of the sealing layer 34 hermetically.

Wird die Struktur druckbeaufschlagt, wird die Masse 44 nach unten gedrückt, so dass sich der Abstand zu der darunter liegenden leitenden Schicht 14, die als Gegenelektrode fungiert, verkleinert und dementspre­ chend tritt eine Kapazitätsänderung ein. Der elektri­ sche Anschluss und die Ausführung der Gegenelektrode kann wiederum über die Schicht 14 erfolgen und nach außen geführt werden. Man erhält somit einen einfa­ chen, robusten, kapazitiven Drucksensor in Oberflä­ chenmikromechanik. Die standardmäßig für Beschleuni­ gungssensoren entwickelte Auswerteelektronik kann weiter verwendet werden, wenn eine Differentialkon­ densatoranordnung durch einen der Messkapazität ex­ tern zugeschalteten Festwertkondensator realisiert wird.If the structure is pressurized, the mass 44 is pressed down, so that the distance to the underlying conductive layer 14 , which acts as a counterelectrode, is reduced and accordingly a change in capacitance occurs. The electrical connection and the execution of the counterelectrode can in turn take place via the layer 14 and be guided to the outside. You get a simple, robust, capacitive pressure sensor in surface micromechanics. The evaluation electronics developed as standard for acceleration sensors can continue to be used if a differential capacitor arrangement is implemented by a fixed value capacitor connected externally to the measuring capacitance.

Die Durchlässigkeit, das heißt Permeabilität der Abscheideschicht 32 für das Ätzmedium und die entstehenden Reaktionsprodukte kann auch nach Deposi­ tion der Schicht 32 im Nachhinein erzwungen werden. Ein erstes Verfahren dieser Art ist in den Fig. 21 bis 23 skizziert, bei denen ein elektrochemischer Ätzvorgang zur Umwandlung von Silizium in (perme­ ables) poröses Silizium im Vordergrund steht. Zu­ nächst wird - wie bereits beschrieben - bis ein­ schließlich der Deposition der Abscheideschicht 32 verfahren. Anschließend wird eine geeignete Maskier­ schicht 46 aufgebracht (Fig. 21) und in bekannter Weise, beispielsweise durch einen zusätzlichen Litho­ grafieschritt strukturiert, so dass ein Bereich 48, in dem die Eigenschaften der Schicht 32 geändert wer­ den sollen, zugänglich ist (Fig. 22).The permeability, i.e. the permeability of the deposition layer for the etching medium, the reaction products 32 and can also be produced by Deposi tion of the layer 32 are forced afterwards. A first method of this type is outlined in FIGS . 21 to 23, in which an electrochemical etching process for converting silicon into (permeable) porous silicon is in the foreground. First of all - as already described - until finally the deposition of the deposition layer 32 is carried out . A suitable masking layer 46 is then applied ( FIG. 21) and structured in a known manner, for example by an additional lithography step, so that an area 48 in which the properties of the layer 32 are to be changed is accessible ( FIG. 22 ).

Der eigentliche elektrochemische Ätzvorgang wird in Gegenwart eines HF-Elektrolyten, beispielsweise einem Flusssäure-Ethanol-Gemisch, durchgeführt und führt zur Bildung poröser Strukturen beziehungsweise Ätz­ öffnungen in den dem Elektrolyten ausgesetzten Berei­ chen 48 der Schicht 32.The actual electrochemical etching process is carried out in the presence of an HF electrolyte, for example a hydrofluoric acid / ethanol mixture, and leads to the formation of porous structures or etching openings in the areas 48 of the layer 32 exposed to the electrolyte.

Es hat sich als besonders vorteilhaft erwiesen, bei den elektrochemischen Ätzvorgängen der gezeigten Art zusätzlich eine Bestrahlung der Oberfläche in einem Wellenlängenbereich von 100 nm bis 1000 nm, insbeson­ dere bei 350 nm bis 800 nm, durchzuführen, da dadurch die Homogenität des Prozesses verbessert wird. Ein elektrischer Anschluss durch Anlegen eines anodischen Potentials kann zum einen über die Oberseite der Schicht 32 und zum anderen von der Epipolysilizium­ schicht 16 beziehungsweise dem Grundwafer 11 (Rück­ seitenkontakt) aus über die Unterseite der Schicht 32 erfolgen. Der großflächige Rückseitenkontakt über den Grundwafer 11 hat den Vorteil, dass damit eine de­ finiertere und homogenere Stromdichteverteilung des Anodisierungsstroms erreicht wird, da der Strom ins­ gesamt nur maximal die Dicke des Grundwafers 11 über­ winden muss, um in den zu behandelnden Bereich 48 zu gelangen. Zweckmäßigerweise ist eine hohe n-Dotierung der Schichten des Grundwafers 11 - vor allem der Waferunterseite des Substrates (10) - vorgesehen (n++), was besonders einfach durch POCL-Deposition und anschließendes Eintreiben von Phosphor ins Sili­ zium, aber auch durch Ionenimplantation von Phosphor, Arsen oder Antimon ermöglicht wird. Die n++-Dotierung der Waferrückseite des Grundwafers 11 reduziert die im Kontaktbereich Elektrolyt/Silizium vorliegende Schottky-Barriere. Eine angepasste Dotierung der Schicht 32 in dem zu verändernden Bereich 48 kann zur Prozesssteuerung genutzt werden. So hat es sich ge­ zeigt, dass eine p-Dotierung zur Bildung mesoporöser Poren führt, während eine n-Dotierung zu Ätzöffnungen von einigen 10 nm bis Mikrometern führt.It has proven to be particularly advantageous to additionally carry out an irradiation of the surface in the wavelength range from 100 nm to 1000 nm, in particular at 350 nm to 800 nm, in the electrochemical etching processes of the type shown, since this improves the homogeneity of the process. An electrical connection by applying an anodic potential can take place on the one hand via the upper side of the layer 32 and on the other hand from the epipolysilicon layer 16 or the base wafer 11 (rear side contact) via the underside of the layer 32 . The large-area rear-side contact via the base wafer 11 has the advantage that a more defined and more homogeneous current density distribution of the anodization current is achieved since the current only has to overcome a maximum of the thickness of the base wafer 11 in order to reach the area 48 to be treated. Advantageously, a high n-doping of the layers of the base wafer 11 - especially the underside of the substrate ( 10 ) - is provided (n ++ ), which is particularly easy due to POCL deposition and subsequent driving of phosphorus into silicon, but also through ion implantation of phosphorus, arsenic or antimony. The n ++ doping of the back of the base wafer 11 reduces the Schottky barrier present in the electrolyte / silicon contact area. An adapted doping of the layer 32 in the area 48 to be changed can be used for process control. It has been shown that p-doping leads to the formation of mesoporous pores, while n-doping leads to etching openings of a few 10 nm to micrometers.

Alternativ zu dem elektrochemischen Ätzverfahren kann, wie in den Fig. 24 bis 27 in exemplarischen Ausführungsformen dargestellt, verfahren werden. Zu­ nächst wird die noch nicht genügend durchlässige Schicht 32 aufgebracht und anschließend unter Verwen­ dung bekannter Maskierungsverfahren eine Metall­ schicht abgeschieden und strukturiert. Bei der an­ schließenden galvanischen Herstellung von porösem Polysilizium im Bereich 48 übernimmt die Metall­ schicht somit gleichzeitig die Funktion einer Maskierung der Silizium-Oberfläche der Schicht 32 in den Bereichen, die nicht elektrochemisch anodisiert wer­ den sollen, und einer Kathode in der galvanischen Zelle Silizium/Elektrolyt/Metall. Über die Zusammen­ setzung des HF-Elektrolyten und die auftretende Stromdichte in dieser galvanischen Zelle lassen sich die Prozesse steuern, die zur Bildung des porösen Polysiliziums führen. Die Stromdichten sind abhängig vom Flächenverhältnis Metall/Silizium. Je größer die Metallfläche, desto größer ist die Stromdichte. Übli­ che Metall-Silizium-Flächenverhältnisse liegen zwi­ schen 10 bis 20 zu 1. Der Vorteil dieser Technik ist es, dass keine elektrische Kontaktierung des Wafers notwendig ist.As an alternative to the electrochemical etching method, as illustrated in FIGS. 24 to 27 in exemplary embodiments, the method can be used. First, the not sufficiently permeable layer 32 is applied and then a metal layer is deposited and structured using known masking methods. In the subsequent galvanic production of porous polysilicon in the area 48 , the metal layer thus simultaneously takes on the function of masking the silicon surface of the layer 32 in the areas which are not to be electrochemically anodized and a cathode in the galvanic cell silicon / Electrolyte / metal. The processes that lead to the formation of the porous polysilicon can be controlled via the composition of the HF electrolyte and the current density that occurs in this galvanic cell. The current densities depend on the area ratio metal / silicon. The larger the metal surface, the greater the current density. Usual metal-silicon area ratios are between 10 to 20 to 1. The advantage of this technology is that no electrical contacting of the wafer is necessary.

Um diese Verhältnisse zu realisieren, können Teile der Metallfläche den zu porosifizierenden Bereich 48 der Abdeckung 13 mit einem Gitter überdecken. Hierbei ist darauf zu achten, dass die Breite der Metallbah­ nen größer ist als die Dicke der zu ätzenden Schicht 32, da sonst zu große Unterätzungen und Ablösungs­ erscheinungen des Metalls auftreten können. Eine Aus­ wahl möglicher Ausführungsformen ist den Draufsichten und Schnittbildern der Fig. 24 bis 27 zu ent­ nehmen.In order to realize these conditions, parts of the metal surface can cover the area 48 of the cover 13 to be porosified with a grid. Care must be taken here that the width of the metal tracks is greater than the thickness of the layer 32 to be etched, since otherwise excessive undercuts and detachment phenomena of the metal can occur. A selection of possible embodiments can be found in the top views and sectional views of FIGS. 24 to 27.

Ferner ist denkbar, mit einem modifizierten Stain-Etch-Verfahren die zu porosifizierenden Bereiche 48 mit einem Gemisch aus Flusssäure, Salpetersäure und Wasser zu behandeln. Alle anderen Bereiche müssen mit einer geeigneten Maskierschicht, beispielsweise aus Siliziumnitrid, geschützt werden. Über die Zusammen­ setzung, insbesondere die Salpetersäurekonzentration, und die Expositionszeiten lassen sich Porosität und Schichtdicke des veränderten porösen Siliziumbereichs steuern. Darüber hinaus besteht ein empirisch erfass­ barer Einfluss von Dotierungen, so dass eine Steue­ rung des die Porosität erzeugenden Prozesses möglich ist.It is also conceivable to treat the areas 48 to be porosified with a mixture of hydrofluoric acid, nitric acid and water using a modified stain-etch process. All other areas must be protected with a suitable masking layer, for example made of silicon nitride. The composition, in particular the nitric acid concentration, and the exposure times can be used to control the porosity and layer thickness of the changed porous silicon region. In addition, there is an empirically detectable influence of doping, so that it is possible to control the process that produces the porosity.

Eine weitere alternative Ausführungsform der Dünn­ schicht-Sensorkappe, bei der an der Unterseite der Abscheideschicht 32 Stützelemente 50 vorhanden sind, ist den Fig. 28 bis 31 zu entnehmen. Bis zur Ab­ scheidung des Oxids 30, wie in der Fig. 6 darge­ stellt, kann auf das eingangs beschriebene Verfahren zurückgegriffen werden. Auf eine vollständige Plana­ risierung der Oxidschicht 30 bis auf die Höhe der Epipolysiliziumschicht 16 wird allerdings verzichtet. Anstelle dessen erfolgt eine strukturierte Abtragung, bei der in den Bereichen, die später die Stützele­ mente 50 ausbilden sollen, das Oxid 30 entfernt wird. Diese Bereiche liegen sinnvollerweise oberhalb der Bereiche der Epipolysiliziumschicht 16, die in den sich anschließenden Ätzverfahren nicht weiter ange­ griffen werden sollen.A further alternative embodiment of the thin-layer sensor cap, in which 32 support elements 50 are provided on the underside of the separating layer, can be seen in FIGS. 28 to 31. Up to the separation of the oxide 30 , as shown in FIG. 6 Darge, can be used on the method described above. A complete planning of the oxide layer 30 down to the height of the epipolysilicon layer 16 is, however, dispensed with. Instead, a structured removal takes place, in which the oxide 30 is removed in the areas which are later to form the support elements 50 . These areas are expediently above the areas of the epipolysilicon layer 16 which are not to be attacked further in the subsequent etching process.

Die einzelnen Stützelemente 50 sind üblicherweise um­ laufende Stützstreben oder Stützsäulen, die somit den Sensorraum 28, der von der Abdeckung 13 abgedeckt wird, begrenzen. Innerhalb des Sensorraumes 28 befin­ den sich die notwendigen mikromechanischen Strukturen 26. Gemäß der Fig. 28 können geringe Abstände der Stützelemente 50 und damit Spannweiten der Abdeckung 13 verwirklicht werden. So sind Spannweiten unterhalb von 10 µm realisierbar. Damit einhergehend ist aber auch eine geringere Durchbiegung bei der Beaufschla­ gung mit einem Überdruck verbunden und es kann ein Abstand der Abdeckung 13 und des Sensorelementes so­ weit verringert werden, dass ein Herausheben der Sen­ sorstruktur 26 bei mechanischer Überlastung verhin­ dert wird. Da der bei den herkömmlichen Sensoren not­ wendige Bondrahmen drastisch verkleinert werden kann, tritt zusätzlich eine erhebliche Flächenreduzierung auf, so dass mehr als doppelt so viele Beschleuni­ gungssensoren auf einen Grundwafer 11 prozessierbar sind. Die Fig. 31 zeigt hierzu eine weitere vorteil­ hafte Ausgestaltung mit T-förmigen Stützelementen 50, die zu besonders stabilen Strukturen führt.The individual support elements 50 are usually around running support struts or support columns, which thus limit the sensor space 28 which is covered by the cover 13 . The necessary micromechanical structures 26 are located within the sensor space 28 . According to the Fig. 28 small distances can be realized of the cover 13 of the support members 50 and thus spans. Spans of less than 10 µm can be realized. This is accompanied by a lower deflection in the supply with overpressure and a distance between the cover 13 and the sensor element can be reduced to such an extent that lifting of the sensor structure 26 is prevented under mechanical overload. Since the bond frame necessary with the conventional sensors can be drastically reduced, a considerable reduction in area also occurs, so that more than twice as many acceleration sensors can be processed on a base wafer 11 . The Fig. 31 shows in this respect a further advantageous embodiment with T-shaped support members 50, which leads to particularly stable structures.

Für den Fall, dass anstelle eines permeablen Poly­ siliziums als Abscheideschicht 32 nachträgliche Ätz­ öffnungen 52 eingebracht werden sollen, über die das Opferoxidätzen stattfindet, hat sich das in der Fig. 29 dargestellte Design als vorteilhaft erwiesen. Die Ätzöffnungen 52 sind dabei derart angeordnet, dass bei der Deposition der Abdichtungsschicht 34 allen­ falls die nicht funktionsbegründenden Strukturele­ mente 53 des Sensors dem Depositionsplasma ausgesetzt sind. Diese nicht funktionsbegründenden Struktur­ elemente 53 sind eben genau die Elemente, die mit den Stützelementen 50 verbunden sind. Gegebenenfalls kön­ nen über die Ätzöffnungen 52 nach der Opferätzung auch geeignete Antihaftschichten im Bereich der Strukturen 26 abgeschieden werden. In the event that subsequent etching openings 52 are to be introduced as deposition layer 32 instead of a permeable polysilicon, via which the sacrificial oxide etching takes place, the design shown in FIG. 29 has proven to be advantageous. The etching holes 52 are arranged such that all the appropriate non-functional causative Strukturele elements 53 of the sensor are exposed to the deposition plasma during the deposition of the sealing layer 34th These non-functional structure elements 53 are precisely the elements that are connected to the support elements 50 . If necessary, suitable non-stick layers can also be deposited in the region of the structures 26 via the etching openings 52 after the sacrificial etching.

Ein Sensor entsprechend der Fig. 30 lässt sich mit Hilfe der vorab geschilderten Prozessschritte ver­ wirklichen. Neben der bereits in der Beschreibung der Fig. 15 und 16 beschriebenen Möglichkeit, die Ab­ scheideschicht 32 sowie die Schicht 34 gleichzeitig zu strukturieren beziehungsweise die Strukturierung der Abscheideschicht 32 zunächst zu unterlassen, diese zunächst ganzflächig zu belassen und erst zu­ letzt zur Anlage elektrisch isolierter Kontaktpads 36 zu ätzen, kann hierzu ergänzend auch die darunter liegende Epipolysiliziumschicht 16 bearbeitet werden, so dass sich die Öffnung 54, über die später eine Kontaktierung erfolgen kann, mit einem Prozessschritt erzeugen lässt. Bei einer elektrochemischen Ätzung erfolgt wiederum Kontaktierung über die Rückseite des Wafers. Hierbei sind die Schichten über die Stützele­ mente 50 elektrisch verbunden, so dass eine bevorzugt hohe Permeabilität im Bereich der Stützelemente 50 durch Bildung von porösem Silizium eingestellt wird. Diese elektrische Kontaktierung der Schicht 32 kann auch neben dem Sensorbereich 28 zum Substrat 10 hin erfolgen.A sensor according to FIG. 30 can be realized with the aid of the process steps described above. In addition to the possibility already described in the description of FIGS. 15 and 16, to structure the deposition layer 32 and the layer 34 at the same time, or to refrain from structuring the deposition layer 32 initially, to leave it initially over the whole area and only last to apply electrically insulated contact pads To etch 36 , the underlying epipolysilicon layer 16 can also be processed, so that the opening 54 , via which contact can be made later, can be produced with one process step. In the case of electrochemical etching, contact is again made via the back of the wafer. Here, the layers are electrically connected via the support elements 50 , so that a preferably high permeability is set in the region of the support elements 50 by the formation of porous silicon. This electrical contacting of the layer 32 can also take place next to the sensor region 28 towards the substrate 10 .

Claims (39)

1. Sensor mit zumindest einer mikromechanischen Struktur auf Siliziumbasis, die in einem Sensorraum eines Grundwafers integriert ist, und zumindest einer den Grundwafer im Bereich des Sensorraumes abdecken­ den Abdeckung, dadurch gekennzeichnet, dass die Ab­ deckung (13) aus einer für ein Ätzmedium und die Reaktionsprodukte durchlässigen ersten Schicht (32) (Abscheideschicht) und einer darüber liegenden herme­ tisch dichtenden zweiten Schicht (34) (Abdichtungs­ schicht) besteht.1. Sensor with at least one micromechanical structure based on silicon, which is integrated in a sensor space of a base wafer, and at least one of the base wafer in the area of the sensor space cover the cover, characterized in that the cover ( 13 ) consists of a for an etching medium and the Reaction products permeable first layer ( 32 ) (deposition layer) and an overlying hermetically sealing second layer ( 34 ) (sealing layer). 2. Sensor nach Anspruch 1, dadurch gekennzeichnet, dass die Abscheideschicht (32) im Bereich des Sensor­ raumes (28) permeabel für das Ätzmedium und die Reak­ tionsprodukte ist.2. Sensor according to claim 1, characterized in that the deposition layer ( 32 ) in the region of the sensor space ( 28 ) is permeable to the etching medium and the reaction products. 3. Sensor nach Anspruch 1, dadurch gekennzeichnet, dass die Abscheideschicht (32) im Bereich des Sensor­ raumes (28) Ätzöffnungen (52) aufweist.3. Sensor according to claim 1, characterized in that the deposition layer ( 32 ) in the region of the sensor space ( 28 ) has etching openings ( 52 ). 4. Sensor nach Anspruch 3, dadurch gekennzeichnet, dass die Ätzöffnungen (52) einen Durchmesser von 0,1 bis 5 µm haben.4. Sensor according to claim 3, characterized in that the etching openings ( 52 ) have a diameter of 0.1 to 5 µm. 5. Sensor nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Abscheideschicht (32) an ihrer Unterseite Stützelemente (50) aufweist, die eine Verbindung zwischen dem Grundwafer (11) und der Abdeckung (13) schaffen.5. Sensor according to one of the preceding claims, characterized in that the deposition layer ( 32 ) has on its underside support elements ( 50 ) which create a connection between the base wafer ( 11 ) and the cover ( 13 ). 6. Sensor nach Anspruch 5, dadurch gekennzeichnet, dass die Stützelemente (50) parallel zur Struktur (26) angeordnete Stützstreben sind, die den Sensor­ raum (28) umlaufen und deren Abstand in einem Bereich von 5 bis 1000 µm liegt.6. Sensor according to claim 5, characterized in that the support elements ( 50 ) are arranged parallel to the structure ( 26 ) support struts which run around the sensor space ( 28 ) and whose distance is in a range from 5 to 1000 µm. 7. Sensor nach Anspruch 6, dadurch gekennzeichnet, dass die Stützelemente (50) umlaufende Stützstreben oder Stützsäulen sind.7. Sensor according to claim 6, characterized in that the support elements ( 50 ) are circumferential support struts or support columns. 8. Sensor nach den Ansprüchen 3 und 5, dadurch ge­ kennzeichnet, dass die Ätzöffnungen (52) im Bereich der Stützelemente (50) angeordnet sind, so dass eine direkte Exposition der Struktur (26) mit dem die Ab­ dichtungsschicht (34) bildenden Material bei dessen Deposition vermieden wird und die Stabilität der Ab­ scheideschicht (32) erhalten bleibt.8. Sensor according to claims 3 and 5, characterized in that the etching openings ( 52 ) are arranged in the region of the support elements ( 50 ), so that direct exposure of the structure ( 26 ) with the sealing layer ( 34 ) forming material is avoided during its deposition and the stability of the separating layer ( 32 ) is maintained. 9. Sensor nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Abscheideschicht (32) aus Polysilizium ist.9. Sensor according to one of the preceding claims, characterized in that the deposition layer ( 32 ) is made of polysilicon. 10. Sensor nach Anspruch 9, dadurch gekennzeichnet, dass die Abscheideschicht (32) in Bereiche hoher, niedriger oder fehlender Porosität unterteilt ist, wobei die Bereiche hoher Porosität weitestgehend oberhalb der Sensorräume (28) liegen. 10. Sensor according to claim 9, characterized in that the deposition layer ( 32 ) is divided into areas of high, low or missing porosity, the areas of high porosity being largely above the sensor spaces ( 28 ). 11. Sensor nach Anspruch 10, dadurch gekennzeichnet, dass auf der Abscheideschicht (32) in Bereichen mit niedriger oder fehlender Porosität eine metallische Maskierungsschicht, insbesondere aus einem Metall, das edler als Silizium ist, aufgebracht ist.11. Sensor according to claim 10, characterized in that a metallic masking layer, in particular made of a metal that is more noble than silicon, is applied to the deposition layer ( 32 ) in areas with low or missing porosity. 12. Sensor nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Abdichtungsschicht (34) ein Isolator, insbesondere aus Siliziumnitrid oder Siliziumoxid, ist.12. Sensor according to one of the preceding claims, characterized in that the sealing layer ( 34 ) is an insulator, in particular made of silicon nitride or silicon oxide. 13. Sensor nach einem der Ansprüchen 1 bis 11, da­ durch gekennzeichnet, dass die Abdichtungsschicht (34) aus Metall, insbesondere aus Aluminium, besteht.13. Sensor according to one of claims 1 to 11, characterized in that the sealing layer ( 34 ) consists of metal, in particular aluminum. 14. Sensor nach Anspruch 13, dadurch gekennzeichnet, dass die Struktur (26) im Sensorraum (28) mit einer Antihaftschicht bedeckt ist.14. Sensor according to claim 13, characterized in that the structure ( 26 ) in the sensor space ( 28 ) is covered with a non-stick layer. 15. Sensor nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der Sensor ein Beschleu­ nigungssensor oder Drehratensensor ist.15. Sensor according to one of the preceding claims, characterized in that the sensor is an accelerator inclination sensor or yaw rate sensor. 16. Sensor nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der Sensor ein kapaziti­ ver Drucksensor ist.16. Sensor according to one of the preceding claims, characterized in that the sensor is a capacitance ver pressure sensor. 17. Sensor nach Anspruch 16, dadurch gekennzeichnet, dass bei dem kapazitiven Drucksensor eine seismische Masse (38, 44) direkt oder über ein Kupplungselement (42) an die Abdeckung (13) gebunden ist. 17. Sensor according to claim 16, characterized in that in the capacitive pressure sensor a seismic mass ( 38 , 44 ) is bound directly or via a coupling element ( 42 ) to the cover ( 13 ). 18. Verfahren zur Herstellung eines Sensors mit zu­ mindest einer mikromechanischen Struktur auf Sili­ ziumbasis, die in einem Sensorraum eines Grundwafers integriert ist, und einer den Grundwafer zumindest im Bereich des Sensorraumes abdeckenden Abdeckung, da­ durch gekennzeichnet, dass
  • a) zumindest der im Grundwafer (11) nach Etablie­ rung der Struktur (26) vorhandene Sensorraum (28) mit einem Oxid (30), insbesondere CVD-Oxid oder porösen Oxid, gefüllt wird,
  • b) der Sensorraum (28) mit einer für ein Ätzmedium und die Reaktionsprodukte transparenten oder nachträglich transparent gemachten ersten Schicht (32) (Abscheideschicht), insbesondere aus Polysilizium, bedeckt wird,
  • c) das Oxid (30) in dem Sensorraum (28) durch die Abscheideschicht (32) hindurch mit dem Ätzme­ dium entfernt wird und
  • d) anschließend eine zweite Schicht (34) (Abdich­ tungsschicht), insbesondere aus Metall oder ei­ nem Isolator, auf die Abscheideschicht (32) aufgebracht wird, die den Sensorraum (28) her­ metisch abdichtet.
18. A method for producing a sensor with at least one micromechanical structure based on silicon, which is integrated in a sensor space of a base wafer, and a cover covering the base wafer at least in the area of the sensor space, as characterized in that
  • a) at least the sensor space ( 28 ) present in the base wafer ( 11 ) after the structure ( 26 ) has been established is filled with an oxide ( 30 ), in particular CVD oxide or porous oxide,
  • b) the sensor space ( 28 ) is covered with a first layer ( 32 ) (deposition layer), in particular made of polysilicon, which is transparent or subsequently made transparent for an etching medium and the reaction products,
  • c) the oxide ( 30 ) in the sensor chamber ( 28 ) through the deposition layer ( 32 ) is removed with the etching medium and
  • d) then a second layer ( 34 ) (sealing layer), in particular made of metal or egg nem insulator, is applied to the deposition layer ( 32 ), which seals the sensor space ( 28 ).
19. Verfahren nach Anspruch 17, dadurch gekennzeich­ net, dass vor dem Aufbringen der Abscheideschicht (32) das Oxid (30) in Bereichen außerhalb des Sensor­ raumes (28) durch Ätzen oder Schleifen, insbesondere CMP-Schleifen, entfernt wird (Planarisierung der Oberfläche des Grundwafers).19. The method according to claim 17, characterized in that before the deposition layer ( 32 ), the oxide ( 30 ) is removed in areas outside the sensor space ( 28 ) by etching or grinding, in particular CMP grinding (planarization of the surface of the base wafer). 20. Verfahren nach Anspruch 17, dadurch gekennzeich­ net, dass vor dem Aufbringen der Abscheideschicht (32) das Oxid (30) in Bereichen außerhalb des Sensor­ raumes (28) durch maskiertes Ätzen strukturiert wird (Strukturieren der Oberfläche des Grundwafers).20. The method according to claim 17, characterized in that before the application of the deposition layer ( 32 ) the oxide ( 30 ) is structured in areas outside the sensor space ( 28 ) by masked etching (structuring the surface of the base wafer). 21. Verfahren nach Anspruch 20, dadurch gekennzeich­ net, dass das Oxid (30) in Bereichen, in denen ein Stützelement (50) an der Unterseite des Kappenberei­ ches als Bindeglied zwischen Grundwafer (11) und Ab­ deckung (13) vorgesehen ist, entfernt wird.21. The method according to claim 20, characterized in that the oxide ( 30 ) is removed in areas in which a support element ( 50 ) on the underside of the cap area as a link between the base wafer ( 11 ) and cover ( 13 ) is provided becomes. 22. Verfahren nach einem der Ansprüche 18 bis 21, da­ durch gekennzeichnet, dass in die Abscheideschicht (32) durch Ätzen, insbesondere durch maskiertes Plas­ maätzen, Ätzöffnungen mit einem Durchmesser von 0,1 bis 5 µm eingebracht werden.22. The method according to any one of claims 18 to 21, characterized in that etching openings with a diameter of 0.1 to 5 µm are made in the deposition layer ( 32 ) by etching, in particular by masked plasma etching. 23. Verfahren nach einem der Ansprüche 18 bis 22, da­ durch gekennzeichnet, dass die Permeabilität der Ab­ scheideschicht (32) durch einen elektrochemischen Ätzvorgang, indem beispielsweise als Elektrolyt ein Flusssäure-Ethanol-Gemisch dient, erzwungen wird.23. The method according to any one of claims 18 to 22, characterized in that the permeability of the deposition layer ( 32 ) is forced by an electrochemical etching process, for example by using a hydrofluoric acid-ethanol mixture as the electrolyte. 24. Verfahren nach Anspruch 23, dadurch gekennzeich­ net, dass die Oberseite der Abscheideschicht (32) mit einer Maskierschicht (46) bedeckt wird, die in den zu porosifizierenden Bereichen (48) entfernt wird. 24. The method according to claim 23, characterized in that the top of the deposition layer ( 32 ) is covered with a masking layer ( 46 ) which is removed in the regions ( 48 ) to be porosified. 25. Verfahren nach einem der Ansprüche 23 oder 24, dadurch gekennzeichnet, dass ein elektrischer An­ schluss durch Anlegen eines anodisches Potentials an eine Oberseite der Abscheideschicht (32) erfolgt.25. The method according to any one of claims 23 or 24, characterized in that an electrical connection is made by applying an anodic potential to an upper side of the deposition layer ( 32 ). 26. Verfahren nach einem der Ansprüche 23 oder 24, dadurch gekennzeichnet, dass der elektrische An­ schluss durch Anlegen eines anodischen Potentials an eine Unterseite der Abscheideschicht (32) über eine tiefer liegende Schicht des Grundwafers (11) oder den Grundwafer (11) selbst erfolgt.26. The method according to any one of claims 23 or 24, characterized in that the electrical connection is made by applying an anodic potential to an underside of the deposition layer ( 32 ) via a deeper layer of the base wafer ( 11 ) or the base wafer ( 11 ) itself . 27. Verfahren nach einem der Ansprüche 23 bis 26, da­ durch gekennzeichnet, dass über eine Dotierung der Abscheideschicht (32) die Permeabilität beeinflusst wird.27. The method according to any one of claims 23 to 26, characterized in that the permeability is influenced by doping the deposition layer ( 32 ). 28. Verfahren nach Anspruch 27, dadurch gekennzeich­ net, dass eine p-Dotierung der Abscheideschicht (32) zur Erzeugung mesoporöser Poren genutzt wird.28. The method according to claim 27, characterized in that a p-doping of the deposition layer ( 32 ) is used to produce mesoporous pores. 29. Verfahren nach Anspruch 27, dadurch gekennzeich­ net, dass eine n-Dotierung der Abscheideschicht (32) zur Erzeugung von Ätzöffnungen (52) mit einem Durch­ messer von einigen 10 Nanometern bis maximal 10 µm genutzt wird.29. The method according to claim 27, characterized in that an n-doping of the deposition layer ( 32 ) is used to produce etching openings ( 52 ) with a diameter of a few 10 nanometers to a maximum of 10 µm. 30. Verfahren nach einem der Ansprüche 18 bis 22, da­ durch gekennzeichnet, dass die Permeabilität der Ab­ scheideschicht (32) durch ein maskiertes Stain-Etch-Verfahren erzwungen wird. 30. The method according to any one of claims 18 to 22, characterized in that the permeability of the separating layer ( 32 ) is forced by a masked stain-etch method. 31. Verfahren nach Anspruch 30, dadurch gekennzeich­ net, dass das Stain-Etch-Verfahren mittels einer Mi­ schung aus Flusssäure, Salpetersäure und Wasser er­ folgt und über die Mischverhältnisse und die Exposi­ tionszeiten die Porosität und die Ätztiefe der porö­ sen Schicht in die Abscheideschicht (32) eingestellt wird.31. The method according to claim 30, characterized in that the stain-etch process is carried out by means of a mixture of hydrofluoric acid, nitric acid and water and, via the mixing ratios and the exposure times, the porosity and the etching depth of the porous layer into the deposition layer ( 32 ) is set. 32. Verfahren nach einem der Ansprüche 18 bis 22, da­ durch gekennzeichnet, dass die Permeabilität der Ab­ scheideschicht (32) durch ein galvanisches Verfahren erreicht wird, indem eine Metallschicht in dem nicht zu verändernden Bereich aufgebracht wird (Maskie­ rung), und dass während des anschließenden galvani­ schen Prozesses an einer Grenzfläche zwischen HF-Elektrolyt und der unmaskierten Abscheideschicht (32) eine Ätzung erfolgt in Abhängigkeit von einer Strom­ dichte und/oder eines Flächenverhältnisses Me­ tall/Silizium und/oder einer Elektrolytzusammenset­ zung.32. The method according to any one of claims 18 to 22, characterized in that the permeability of the deposition layer ( 32 ) is achieved by a galvanic process in that a metal layer is applied in the area not to be changed (masking), and that during The subsequent galvanic process at an interface between the HF electrolyte and the unmasked deposition layer ( 32 ) is etched as a function of a current density and / or an area ratio metal / silicon and / or an electrolyte composition. 33. Verfahren nach einem der Ansprüche 23, 30 oder 32, dadurch gekennzeichnet, dass zusätzlich während des Ätzvorganges eine Bestrahlung in einem Wellenlän­ genbereich von 100 nm bis 1000 nm, bevorzugt zwischen 350 nm bis 800 nm, stattfindet.33. The method according to any one of claims 23, 30 or 32, characterized in that additionally during the etching process a radiation in a wavelength gene range from 100 nm to 1000 nm, preferably between 350 nm to 800 nm takes place. 34. Verfahren nach einem der Ansprüche 18 bis 33, da­ durch gekennzeichnet, dass die Abdichtungsschicht (34) durch ein maskiertes Ätzverfahren strukturiert wird. 34. The method according to any one of claims 18 to 33, characterized in that the sealing layer ( 34 ) is structured by a masked etching process. 35. Verfahren nach Anspruch 34, dadurch gekennzeich­ net, dass das maskierte Ätzverfahren eine Strukturie­ rung der Abscheideschicht (32) umfasst.35. The method according to claim 34, characterized in that the masked etching process comprises structuring the deposition layer ( 32 ). 36. Verfahren nach Anspruch 35, dadurch gekennzeich­ net, dass das maskierte Ätzverfahren zusätzlich eine Strukturierung einer oberen Schicht des Grundwafers (11), insbesondere aus Epipolysilizium, umfasst.36. The method according to claim 35, characterized in that the masked etching method additionally comprises structuring an upper layer of the base wafer ( 11 ), in particular made of epipolysilicon. 37. Verfahren nach einem der Ansprüche 18 bis 36, da­ durch gekennzeichnet, dass über die Druckbedingungen während der Deposition der Abdichtungsschicht (34) der Druck innerhalb des Sensorraumes (28) eingestellt wird.37. The method according to any one of claims 18 to 36, characterized in that the pressure within the sensor chamber ( 28 ) is set via the pressure conditions during the deposition of the sealing layer ( 34 ). 38. Verfahren nach Anspruch 37, dadurch gekennzeich­ net, dass vor der Deposition der Abdichtungsschicht (34) der Druck innerhalb des Sensorraumes (28) durch Beaufschlagung mit einem Inertgas, insbesondere He­ lium, bei einer vorgegebenen Temperatur eingestellt wird.38. The method according to claim 37, characterized in that before the deposition of the sealing layer ( 34 ) the pressure within the sensor space ( 28 ) is set at a predetermined temperature by exposure to an inert gas, in particular He lium. 39. Verfahren nach Anspruch 38, dadurch gekennzeich­ net, dass die Deposition der Abdichtungsschicht (34) bereits in einer inertgashaltigen Atmosphäre startet und allmählich die optimalen Betriebsparameter für ein Depositionsplasma eingestellt werden.39. The method according to claim 38, characterized in that the deposition of the sealing layer ( 34 ) starts in an inert gas-containing atmosphere and gradually the optimal operating parameters for a deposition plasma are set.
DE19961578A 1999-12-21 1999-12-21 Sensor comprises a micromechanical structure based on silicon integrated in the sensor chamber of a base wafer and a cover made of a transparent deposition layer and a sealing layer Ceased DE19961578A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
DE19961578A DE19961578A1 (en) 1999-12-21 1999-12-21 Sensor comprises a micromechanical structure based on silicon integrated in the sensor chamber of a base wafer and a cover made of a transparent deposition layer and a sealing layer
US10/168,584 US6936902B2 (en) 1999-12-21 2000-12-14 Sensor with at least one micromechanical structure and method for production thereof
PCT/DE2000/004454 WO2001046066A2 (en) 1999-12-21 2000-12-14 Sensor with at least one micromechanical structure and method for the production thereof
US11/028,370 US7273764B2 (en) 1999-12-21 2005-01-03 Sensor with at least one micromechanical structure, and method for producing it

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE19961578A DE19961578A1 (en) 1999-12-21 1999-12-21 Sensor comprises a micromechanical structure based on silicon integrated in the sensor chamber of a base wafer and a cover made of a transparent deposition layer and a sealing layer

Publications (1)

Publication Number Publication Date
DE19961578A1 true DE19961578A1 (en) 2001-06-28

Family

ID=7933498

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19961578A Ceased DE19961578A1 (en) 1999-12-21 1999-12-21 Sensor comprises a micromechanical structure based on silicon integrated in the sensor chamber of a base wafer and a cover made of a transparent deposition layer and a sealing layer

Country Status (3)

Country Link
US (2) US6936902B2 (en)
DE (1) DE19961578A1 (en)
WO (1) WO2001046066A2 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1306348A1 (en) * 2001-10-24 2003-05-02 Robert Bosch Gmbh Method for the manufacture of a membran sensor unit and membran sensor unit
WO2003070625A2 (en) * 2002-02-19 2003-08-28 Northrop Grumman Corporation Thin film encapsulation of mems devices
EP1352877A2 (en) * 2002-04-12 2003-10-15 Dalsa Semiconductor Inc. Wafer-level MEMS packaging
FR2841380A1 (en) * 2002-06-25 2003-12-26 Commissariat Energie Atomique Encapsulation of an object under a controlled atmosphere in a cavity provided with a vent that is stopped with a porous material prior to final sealing
WO2004109769A2 (en) 2003-06-04 2004-12-16 Robert Bosch Gmbh Microelectromechanical systems and methods for encapsulating
WO2004108585A2 (en) 2003-06-04 2004-12-16 Robert Bosch Gmbh Microelectromechanical systems having trench isolated contacts, and methods for fabricating same
WO2006074871A1 (en) * 2005-01-12 2006-07-20 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method for generation of a given internal pressure in a cavity of a semiconductor component
DE102006058927A1 (en) * 2006-12-12 2008-06-19 Endress + Hauser Gmbh + Co. Kg Differential pressure transducer has first and second pressure measurement chambers to which pressures can be fed and first and second membrane beds, whereby measurement membrane forms overload membrane
DE102007030121A1 (en) * 2007-06-29 2009-01-02 Litef Gmbh Method for producing a component and component
US7514283B2 (en) * 2003-03-20 2009-04-07 Robert Bosch Gmbh Method of fabricating electromechanical device having a controlled atmosphere
DE102008040522A1 (en) 2008-07-18 2010-01-21 Robert Bosch Gmbh Method for manufacturing micromechanical structure, involves applying sacrificial layer on substrate, applying sacrificial layer on function layer, and applying another sacrificial layer on function layer
US7851248B2 (en) 2006-10-19 2010-12-14 Robert Bosch Gmbh Method for producing a micromechanical component having a thin-layer capping
DE102005043906B4 (en) * 2004-09-16 2012-02-16 Denso Corporation A capacitive-type physical quantity sensor having a sensor chip and a circuit chip
DE102010041900A1 (en) 2010-10-04 2012-04-05 Robert Bosch Gmbh Micromechanical component e.g. acceleration sensor, manufacturing method, involves forming trench of sacrificial layer under gitter mask structures that remain overstretched after etching of structures, and closing structures
DE102011080978A1 (en) 2011-08-16 2013-02-21 Robert Bosch Gmbh Method for producing a micromechanical structure and micromechanical structure
DE102012200655A1 (en) 2012-01-18 2013-07-18 Robert Bosch Gmbh Method for manufacturing micromechanical arrangement, particularly micromechanical sensors, involves providing substrate with casualty layer above it, where micromechanical functional structure is formed on casualty layer
DE102007008380B4 (en) * 2007-02-21 2017-05-11 Robert Bosch Gmbh Micromechanical component and method for producing a micromechanical component
DE102011079222B4 (en) 2011-07-15 2022-05-12 Robert Bosch Gmbh Process for producing a micromechanical structure

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10047189C1 (en) * 2000-09-23 2002-02-21 Bosch Gmbh Robert Seat occupant classification method for automobile passenger seat uses evaluation of seating profile provided by pressure sensor matrix
DE10123039A1 (en) * 2001-05-11 2002-11-21 Bosch Gmbh Robert Sensor arrangement used as a micromechanical sensor comprises a sensor section to deliver sensor signals, and a covering section arranged on the sensor section to form a hermetically sealed sensor inner chamber
CN102505431B (en) * 2002-04-10 2014-09-24 菲舍尔和佩克尔应用有限公司 A laundry appliance
FR2838423B1 (en) * 2002-04-12 2005-06-24 Thales Sa METHOD FOR MANUFACTURING A MICROSTRUCTURE COMPRISING A VACUUM CAVITY AND CORRESPONDING MICROSTRUCTURE
DE10231729B4 (en) * 2002-07-13 2011-08-11 Robert Bosch GmbH, 70469 Component with a surface micromechanical structure
US7317232B2 (en) * 2002-10-22 2008-01-08 Cabot Microelectronics Corporation MEM switching device
WO2004061983A1 (en) * 2002-12-27 2004-07-22 Matsushita Electric Industrial Co., Ltd. Electronic device and method of manufacturing the same
EP1606600A2 (en) * 2003-03-18 2005-12-21 Microgan GmbH Sensor element with self-supporting bar structures made of group iii nitride based semiconductors
US6952041B2 (en) * 2003-07-25 2005-10-04 Robert Bosch Gmbh Anchors for microelectromechanical systems having an SOI substrate, and method of fabricating same
DE10352001A1 (en) * 2003-11-07 2005-06-09 Robert Bosch Gmbh Micromechanical component with a membrane and method for producing such a component
US7625603B2 (en) * 2003-11-14 2009-12-01 Robert Bosch Gmbh Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
FR2864341B1 (en) * 2003-12-19 2006-03-24 Commissariat Energie Atomique HERMETIC CAVITY MICROCOMPONENT COMPRISING A PLUG AND METHOD FOR MANUFACTURING SUCH A MICROCOMPONENT
DE102004010295A1 (en) * 2004-03-03 2005-09-22 Robert Bosch Gmbh Micromechanical component and corresponding manufacturing method
DE102004015442A1 (en) * 2004-03-30 2005-10-20 Bosch Gmbh Robert Method of closing perforated membranes
US7037745B2 (en) * 2004-05-06 2006-05-02 Dalsa Semiconductor Inc. Method of making electrical connections to hermetically sealed MEMS devices
DE102004023063A1 (en) * 2004-05-11 2005-12-01 Robert Bosch Gmbh Micromechanical piezoresistive pressure sensor device
FR2870638B1 (en) * 2004-05-18 2006-08-18 Alcatel Sa METHOD AND DEVICE FOR ENCAPSULATION, IN PARTICULAR FOR MICROMECHANICAL DEVICES
JP2006043813A (en) * 2004-08-04 2006-02-16 Denso Corp Micro-system structure with protective film and manufacturing method thereof
US7261793B2 (en) * 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
FR2875927B1 (en) * 2004-09-24 2006-12-08 Commissariat Energie Atomique METHOD FOR PROTECTING AN ELECTRONIC CHIP, SELF-CHANGED ELECTRONIC CHIP AND METHOD OF MANUFACTURING THE CHIP
KR100692593B1 (en) * 2005-01-24 2007-03-13 삼성전자주식회사 Manufacturing method of mems structure
US20060273065A1 (en) * 2005-06-02 2006-12-07 The Regents Of The University Of California Method for forming free standing microstructures
US7956428B2 (en) 2005-08-16 2011-06-07 Robert Bosch Gmbh Microelectromechanical devices and fabrication methods
US20070128758A1 (en) * 2005-12-01 2007-06-07 Keisuke Tanaka Semiconductor device and method for fabricating the same
US8129801B2 (en) * 2006-01-06 2012-03-06 Honeywell International Inc. Discrete stress isolator attachment structures for MEMS sensor packages
US20070162880A1 (en) * 2006-01-12 2007-07-12 Honeywell International Inc. Single event transient immune antenna diode circuit
US20070170528A1 (en) 2006-01-20 2007-07-26 Aaron Partridge Wafer encapsulated microelectromechanical structure and method of manufacturing same
EP1840081B1 (en) * 2006-03-28 2013-08-28 Imec Method for forming a hermetically sealed cavity
US7824943B2 (en) 2006-06-04 2010-11-02 Akustica, Inc. Methods for trapping charge in a microelectromechanical system and microelectromechanical system employing same
US7456042B2 (en) * 2006-06-04 2008-11-25 Robert Bosch Gmbh Microelectromechanical systems having stored charge and methods for fabricating and using same
US7563633B2 (en) * 2006-08-25 2009-07-21 Robert Bosch Gmbh Microelectromechanical systems encapsulation process
US20080290494A1 (en) * 2007-05-21 2008-11-27 Markus Lutz Backside release and/or encapsulation of microelectromechanical structures and method of manufacturing same
DE102007044806A1 (en) * 2007-09-20 2009-04-02 Robert Bosch Gmbh Micromechanical component and method for producing a micromechanical component
US7690272B2 (en) * 2007-09-28 2010-04-06 Endevco Corporation Flexural pivot for micro-sensors
FR2923475B1 (en) * 2007-11-09 2009-12-18 Commissariat Energie Atomique METHOD FOR MAKING A SUSPENDED MEMBRANE DEVICE
US8310053B2 (en) 2008-04-23 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a device with a cavity
EP2269946B1 (en) 2009-06-29 2018-10-03 STMicroelectronics Srl Capped integrated device with protective cap, composite wafer incorporating integrated devices and process for bonding integrated devices with respective protective caps
ITTO20090616A1 (en) * 2009-08-05 2011-02-06 St Microelectronics Srl PROCESS OF MANUFACTURING OF MEMS DEVICES EQUIPPED WITH CUTTED CAVITIES AND MEMS DEVICE SO OBTAINED
EP2327658B1 (en) * 2009-11-30 2018-07-04 IMEC vzw Method for manufacturing microelectronic devices and devices according to such method
EP2327659B1 (en) * 2009-11-30 2018-07-11 IMEC vzw Method of manufacturing a semiconductor device and semiconductor devices resulting therefrom
WO2011100068A2 (en) * 2010-02-12 2011-08-18 Eigenlight Corporation Hermetic package with leaded feedthroughs for in-line fiber optic devices and method of making
EP2552824B1 (en) * 2010-03-26 2014-05-21 ELMOS Semiconductor AG Micro-electromechanical device and use thereof
JP5206726B2 (en) * 2010-04-12 2013-06-12 株式会社デンソー Mechanical quantity detection device and manufacturing method thereof
US9259289B2 (en) 2011-05-13 2016-02-16 Intuitive Surgical Operations, Inc. Estimation of a position and orientation of a frame used in controlling movement of a tool
US20130032385A1 (en) * 2011-08-03 2013-02-07 Qualcomm Mems Technologies, Inc. Metal thin shield on electrical device
US9355790B2 (en) * 2013-06-27 2016-05-31 Intel Corporation Energy storage devices having enhanced specific energy and associated methods
FR3008690B1 (en) * 2013-07-22 2016-12-23 Commissariat Energie Atomique DEVICE COMPRISING A FLUID CHANNEL PROVIDED WITH AT LEAST ONE MICRO OR NANOELECTRONIC SYSTEM AND METHOD OF MAKING SUCH A DEVICE
KR20150043748A (en) * 2013-10-15 2015-04-23 삼성전자주식회사 Method of forming patterns for semiconductor device
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
TWI637899B (en) 2015-12-15 2018-10-11 村田製作所股份有限公司 Microelectromechanical device and method for manufacturing it
US10192850B1 (en) 2016-09-19 2019-01-29 Sitime Corporation Bonding process with inhibited oxide formation
IT201800006160A1 (en) 2018-06-08 2019-12-08 PROCEDURE FOR MANUFACTURING A MICROELECTROMECHANICAL DEVICE WITH A SUSPENDED BURIED STRUCTURE AND RELATED MICROELECTROMECHANICAL DEVICE
JP7119202B2 (en) * 2019-02-20 2022-08-16 京セラ株式会社 Lids, packages, electronic devices and electronic modules

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4665610A (en) 1985-04-22 1987-05-19 Stanford University Method of making a semiconductor transducer having multiple level diaphragm structure
US4766666A (en) 1985-09-30 1988-08-30 Kabushiki Kaisha Toyota Chuo Kenkyusho Semiconductor pressure sensor and method of manufacturing the same
GB2198611B (en) 1986-12-13 1990-04-04 Spectrol Reliance Ltd Method of forming a sealed diaphragm on a substrate
US5090254A (en) 1990-04-11 1992-02-25 Wisconsin Alumni Research Foundation Polysilicon resonating beam transducers
US5139624A (en) * 1990-12-06 1992-08-18 Sri International Method for making porous semiconductor membranes
US5338416A (en) 1993-02-05 1994-08-16 Massachusetts Institute Of Technology Electrochemical etching process
DE19537814B4 (en) 1995-10-11 2009-11-19 Robert Bosch Gmbh Sensor and method for producing a sensor
US5919364A (en) * 1996-06-24 1999-07-06 Regents Of The University Of California Microfabricated filter and shell constructed with a permeable membrane
US6156585A (en) * 1998-02-02 2000-12-05 Motorola, Inc. Semiconductor component and method of manufacture
US6279402B1 (en) * 1998-08-10 2001-08-28 Applied Materials, Inc. Device for measuring pressure in a chamber

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1306348A1 (en) * 2001-10-24 2003-05-02 Robert Bosch Gmbh Method for the manufacture of a membran sensor unit and membran sensor unit
WO2003070625A2 (en) * 2002-02-19 2003-08-28 Northrop Grumman Corporation Thin film encapsulation of mems devices
WO2003070625A3 (en) * 2002-02-19 2004-03-25 Northrop Grumman Corp Thin film encapsulation of mems devices
US7045459B2 (en) 2002-02-19 2006-05-16 Northrop Grumman Corporation Thin film encapsulation of MEMS devices
US7638429B2 (en) 2002-02-19 2009-12-29 Northrop Grumman Corporation Thin film encapsulation of MEMS devices
EP1352877A2 (en) * 2002-04-12 2003-10-15 Dalsa Semiconductor Inc. Wafer-level MEMS packaging
EP1352877A3 (en) * 2002-04-12 2004-11-17 Dalsa Semiconductor Inc. Wafer-level MEMS packaging
FR2841380A1 (en) * 2002-06-25 2003-12-26 Commissariat Energie Atomique Encapsulation of an object under a controlled atmosphere in a cavity provided with a vent that is stopped with a porous material prior to final sealing
US7514283B2 (en) * 2003-03-20 2009-04-07 Robert Bosch Gmbh Method of fabricating electromechanical device having a controlled atmosphere
US9771257B2 (en) 2003-03-20 2017-09-26 Robert Bosch Gmbh Electromechanical system having a controlled atmosphere, and method of fabricating same
US20110298065A1 (en) * 2003-03-20 2011-12-08 Aaron Partridge Electromechanical system having a controlled atmosphere, and method of fabricating same
US8018077B2 (en) 2003-03-20 2011-09-13 Robert Bosch Gmbh Electromechanical system having a controlled atmosphere, and method of fabricating same
EP1633673A4 (en) * 2003-06-04 2011-07-06 Bosch Gmbh Robert Microelectromechanical systems having trench isolated contacts, and methods for fabricating same
EP1634328B1 (en) * 2003-06-04 2019-12-04 Robert Bosch Gmbh Microelectromechanical systems and methods for encapsulating and fabricating same
WO2004109769A2 (en) 2003-06-04 2004-12-16 Robert Bosch Gmbh Microelectromechanical systems and methods for encapsulating
EP3527529A1 (en) * 2003-06-04 2019-08-21 Robert Bosch GmbH Microelectromechanical systems having trench isolated contacts, and methods for fabricating same
WO2004108585A2 (en) 2003-06-04 2004-12-16 Robert Bosch Gmbh Microelectromechanical systems having trench isolated contacts, and methods for fabricating same
EP1633673A2 (en) * 2003-06-04 2006-03-15 Robert Bosch Gmbh Microelectromechanical systems having trench isolated contacts, and methods for fabricating same
DE102005043906B4 (en) * 2004-09-16 2012-02-16 Denso Corporation A capacitive-type physical quantity sensor having a sensor chip and a circuit chip
WO2006074871A1 (en) * 2005-01-12 2006-07-20 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method for generation of a given internal pressure in a cavity of a semiconductor component
US7410828B2 (en) 2005-01-12 2008-08-12 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method of creating a predefined internal pressure within a cavity of a semiconductor device
US7851248B2 (en) 2006-10-19 2010-12-14 Robert Bosch Gmbh Method for producing a micromechanical component having a thin-layer capping
DE102006058927A1 (en) * 2006-12-12 2008-06-19 Endress + Hauser Gmbh + Co. Kg Differential pressure transducer has first and second pressure measurement chambers to which pressures can be fed and first and second membrane beds, whereby measurement membrane forms overload membrane
DE102007008380B4 (en) * 2007-02-21 2017-05-11 Robert Bosch Gmbh Micromechanical component and method for producing a micromechanical component
DE102007030121A1 (en) * 2007-06-29 2009-01-02 Litef Gmbh Method for producing a component and component
US8258590B2 (en) 2007-06-29 2012-09-04 Northrop Grumman Litef Gmbh Method for the production of a component, and component
DE102008040522A1 (en) 2008-07-18 2010-01-21 Robert Bosch Gmbh Method for manufacturing micromechanical structure, involves applying sacrificial layer on substrate, applying sacrificial layer on function layer, and applying another sacrificial layer on function layer
DE102010041900A1 (en) 2010-10-04 2012-04-05 Robert Bosch Gmbh Micromechanical component e.g. acceleration sensor, manufacturing method, involves forming trench of sacrificial layer under gitter mask structures that remain overstretched after etching of structures, and closing structures
DE102011079222B4 (en) 2011-07-15 2022-05-12 Robert Bosch Gmbh Process for producing a micromechanical structure
US8659099B2 (en) 2011-08-16 2014-02-25 Robert Bosch Gmbh Method for manufacturing a micromechanical structure, and micromechanical structure
DE102011080978A1 (en) 2011-08-16 2013-02-21 Robert Bosch Gmbh Method for producing a micromechanical structure and micromechanical structure
DE102012200655A1 (en) 2012-01-18 2013-07-18 Robert Bosch Gmbh Method for manufacturing micromechanical arrangement, particularly micromechanical sensors, involves providing substrate with casualty layer above it, where micromechanical functional structure is formed on casualty layer
DE102012200655B4 (en) * 2012-01-18 2020-10-01 Robert Bosch Gmbh Method for producing a micromechanical arrangement and micromechanical arrangement

Also Published As

Publication number Publication date
US6936902B2 (en) 2005-08-30
WO2001046066A2 (en) 2001-06-28
WO2001046066A3 (en) 2002-04-04
US7273764B2 (en) 2007-09-25
US20040065932A1 (en) 2004-04-08
US20050230708A1 (en) 2005-10-20

Similar Documents

Publication Publication Date Title
DE19961578A1 (en) Sensor comprises a micromechanical structure based on silicon integrated in the sensor chamber of a base wafer and a cover made of a transparent deposition layer and a sealing layer
EP1228998B1 (en) Micromechanical device and process for the manufacture of a micromechanical device
DE102005015584B4 (en) Method for producing a micromechanical component
EP1335878B1 (en) Microstructure component
EP2029474B1 (en) Micromechanic component having membrane and method for the production thereof
DE102018124826A1 (en) WAFEREBENE INTEGRATED MEMS COMPONENT; THIS IS ENABLED WITH A SILICON COLUMN AND AN INTELLIGENT CAP
DE102014103341A1 (en) Semiconductor devices and methods for their formation
DE10230166A1 (en) Electrical capacitance pressure sensor for capacitance difference has cover member that forms reference pressure chamber in cavity portion, separates cavity portion from outside, and covers window portion
DE10063991A1 (en) Process for the production of micromechanical components
WO2008006641A1 (en) Method for the production of mems structures
DE102009055283A1 (en) Semiconductor device and method for its production
DE10138981B4 (en) A method of forming silicon oxide by electrochemical oxidation of a well semiconductor substrate
DE102013209266A1 (en) Component with a cavity
WO2007118755A1 (en) Micromechanical component with wafer through-plating and corresponding production method
DE102020125660A1 (en) BOSCH DEEP ETCH WITH HIGH ASPECT RATIO
DE102017112403A1 (en) MICROELECTROMECHANICAL DEVICE AND METHOD FOR PRODUCING A MICROELECTROMECHANICAL DEVICE
DE102015211777B4 (en) Micromechanical system and method for producing a micromechanical system
DE102020214925A1 (en) Method of fabricating a single cavity polysilicon SOI substrate
DE19964638B3 (en) Sensor comprises a micromechanical structure based on silicon integrated in the sensor chamber of a base wafer and a cover made of a transparent deposition layer and a sealing layer
DE10324421A1 (en) Manufacturing metallization surface for semiconducting component with movable structure in substrate, involves metallizing component with cover to form metal coating on cover and metallization surface
DE102010029760B4 (en) Device with a via and method for its preparation
EP2150488B1 (en) Method for producing a micromechanical component having a filler layer and a masking layer
WO2001087765A2 (en) Micromechanical component and method for producing the same
DE112013004855T5 (en) Capacitive pressure sensor and method
DE10231730A1 (en) Microstructure component and method for its production

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8131 Rejection
R011 All appeals rejected, refused or otherwise settled
R130 Divisional application to

Ref document number: 19964638

Country of ref document: DE

Effective date: 20111123

R003 Refusal decision now final

Effective date: 20111125