DE19929239B4 - Verfahren zur Herstellung von MOS-FET-Halbleiterelementen - Google Patents

Verfahren zur Herstellung von MOS-FET-Halbleiterelementen Download PDF

Info

Publication number
DE19929239B4
DE19929239B4 DE19929239A DE19929239A DE19929239B4 DE 19929239 B4 DE19929239 B4 DE 19929239B4 DE 19929239 A DE19929239 A DE 19929239A DE 19929239 A DE19929239 A DE 19929239A DE 19929239 B4 DE19929239 B4 DE 19929239B4
Authority
DE
Germany
Prior art keywords
source
dielectric layer
over
drain regions
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE19929239A
Other languages
English (en)
Other versions
DE19929239A1 (de
Inventor
Peter Strobl
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Polaris Innovations Ltd
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Publication of DE19929239A1 publication Critical patent/DE19929239A1/de
Application granted granted Critical
Publication of DE19929239B4 publication Critical patent/DE19929239B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren zur Herstellung eines MOS-FET-Halbleiterelementes mit folgenden Schritten:
– Bereitstellen eines Halbleiter-Körpers mit Source- und Drain-Bereichen und einer Gateelektrode an einem Teil einer Oberfläche des Körpers zwischen den Source- und Drain-Bereichen;
– Erzeugen einer dielektrischen Schicht auf der Oberfläche des Halbleiter-Körpers über den Source- und Drain-Bereichen;
– Erzeugen eines dielektrischen Materials mit einer Dicke größer als die Dicke der Gateelektrode über der dielektrischen Schicht und über der Gateelektrode;
– Rückpolieren des dielektrischen Materials bis zur Höhe der dielektrischen Schicht an der Gate-elektrode mittels CMP;
– Erzeugen einer anorganischen dielektrischen Schicht über dem dielektrischen Material;
– Erzeugen einer Antireflex-Beschichtung über der anorganischen dielektrischen Schicht;
– Erzeugen einer gemusterten Photoresist-Schicht über der Antireflex-Beschichtung, die Öffnungen aufweist, um Teile der Antireflex-Beschichtung freizulegen, die über Teilen der Source- und Drain-Bereiche liegen;
– Erzeugen einer Maske mit folgenden Schritten:
– Einwirken lassen eines Ätzmittels auf den...

Description

  • Hintergrund der Erfindung
  • Die Erfindung betrifft Verfahren zur Herstellung von MOS-FET-Halbleiterelementen.
  • Wie im Stand der Technik allgemein bekannt ist, haben Halbleiterelemente zahlreiche Anwendungen gefunden. Ein solches Element ist ein Metalloxid-Halbleiter-Feldeffekt-Transistor (MOSFET). Dieser weist eine Gateelektrode zum Steuern des Substratstroms zwischen Source- und Drain-Bereichen auf, die in einem Halbleiter, der im allgemeinen aus Silizium ist, ausgebildet sind. Die Gateelektrode ist im allgemeinen auf einer dünnen, thermisch aufgewachsenen Siliziumdioxid-Schicht über einem Gate-Bereich des Halbleitersubstrates ausgeformt, der zwischen den Source- und den Drain-Bereichen liegt.
  • Auf dem Gateoxid wird eine Schicht aus dotiertem polykristallinem Silizium ausgebildet. Auf dem dotierten polykristallinen Silizium ist eine Schicht aus Metall, wie zum Beispiel Wolframsilicid aufgebracht, um mit dem dotierten polykristallinen Silizium eine Gateelektrode für den MOSFET zu bilden. Nachdem diese Gateelektrode erzeugt worden ist, wird ein Dotierungsmittel in den Siliziumträger implantiert, um die Source- und Drain-Bereiche zu erzeugen. Diese Gateelektrode wird mit einem Isolator oder einem Dielektrikum aus Siliziumnitrid bedeckt. Im einzelnen wird nach der Erzeugung der Source- und Drain-Bereiche eine Reihe von Verfahrensschritten ausgeführt, die zu dem Ergebnis führen, daß eine Schicht aus Siliziumnitrid auf der Oberseite des Wolframsilicids gebildet wird (das heißt eine Siliziumnitrid-Abdeckung für die Gateelektrode), daß weiterhin Siliziumnitrid-Zwischenlagen an den Seiten der Gateelektroden entstehen und eine Schicht aus Siliziumoxynitrid auf dem Siliziumträger über den dotierten Source- und Drain-Bereichen sowie über den Seitenwand-Zwischenlagen des Siliziumnitrids und der Abdeckung gebildet wird.
  • Die sich ergebende Struktur ist in 1 gezeigt. Im einzelnen weist das Siliziumsubstrat 10 eine thermisch aufgewachsene Siliziumdioxidschicht 12 auf. Die Gateelektrode 13 weist eine dotierte polykristalline Siliziumschicht 14 auf der Schicht 12 und eine Wolframsilicidschicht 16 auf der dotierten polykristallinen Siliziumschicht 14 auf, so daß eine Gateelektrode 13 gebildet wird. Der Gatebereich 18 unter der Gateelektrode 13 liegt somit zwischen den Source- und Drain-Bereichen S, D. Ferner liegen eine Siliziumnitrid-Abdeckung 20a und Seitenwand-Zwischenlagen 20b auf der Gateelektrode 13, wobei eine Siliziumoxynitrid-Schicht 20c über den Source- und Drain-Bereichen S, D und über der Siliziumnitrid-Abdeckung 20a und den Seitenwand-Zwischenlagen 20b gemäß der Darstellung vorhanden ist.
  • Als nächstes wird, wie in 1 darstellt ist, eine dielektrische Schicht 22 aus zum Beispiel mit Phosphor dotiertem Silikat-Glas (BSPG) auf der Struktur abgelagert und chemisch-mechanisch zuviel auf die Oberseite der dielektrischen Schicht 20 auf der Gateelektrode 13 poliert. Auf dieser Struktur wird eine Oxidschicht 24, in diesem Fall TEOS, abgelagert. Als nächstes wird auf der TEOS-Schicht 24 eine Antireflex-Beschichtung (ARC) 26 abgelagert. Die ARC 26 ist ein organisches Material wie zum Beispiel ein Polymer, das zur Absorption von ultraviolettem Licht dient. Auf die ARC 26 wird dann eine Photoresist-Schicht 28 aufgebracht. Auf die Photoresist-Schicht 28 wird nun eine Maske (nicht dargestellt) gelegt und mit ultraviolettem Licht belichtet. Die Photoresist-Schicht 28 wird entwickelt, so daß die in 1 gezeigte Maske entsteht. Als nächstes wird die Struktur geätzt, um die freiliegenden Bereiche der ARC 26 zu öffnen, wobei das Ätzen hier durch eine reaktive Ionenätzung (RIE) unter Verwendung einer N2- und O2-Chemie erfolgt. Als nächstes werden die freiliegenden Bereiche der TEOS-Schicht 24 mit C4F8 und CO und Argon und Sauerstoff-Chemie RIE-geätzt. Anschließend werden die freiliegenden Bereiche der dielektrischen BSPG-Schicht 22 unter Anwendung einer RIE-Ätzung mit C4F8 und CO und Argon-Chemie geätzt. Diese RIE-Ätzung endet bei Erreichen der Siliziumoxynitrid-Schicht 20c. Als nächstes werden die freiliegenden Bereiche der Siliziumoxynitrid-Schicht 20c mit einer CF4 und CHF3-Chemie RIE-geätzt, um die Teile der Source- und Drain-Bereiche freizulegen und eine elektrische Source- und Drain-Kontaktierung durchzuführen (nicht gezeigt).
  • Wie allgemein bekannt ist, ist eine häufig angewendete Ätzung eine reaktive Ionen-Ätzung (RIE) auf Fluorid-Basis (zum Beispiel C4F8). Eine solche Ätzchemie ist jedoch sehr stark von dem für die Photoresist-Schicht verwendeten Material abhängig und wird dadurch beeinflußt.
  • Weiterhin ist ein Verfahren vorgeschlagen worden, bei dem vor der Ablagerung der ARC-Schicht eine Schicht aus polykristallinem Silizium auf der TEOS-Schicht verwendet wird. Da jedoch das polykristalline Silizium leitend ist, muß es wieder entfernt werden.
  • Aus der US 5,518,962 ist ein Verfahren zur Herstellung eines Halbleiterbauelements beschrieben, bei dem ein Halbleiterkörper mit Source- und Drainbereichen und einer Gate-Elektrode an einem Teil einer Oberfläche des Halbleiterkörpers zwischen den Source- und den Drainbereichen mit einer dielektrischen Schicht bereitgestellt wird und danach auf dem dielektrischen Material eine anorganische (TEOS-) Schicht erzeugt wird. Des Weiteren ist das Erzeugen von Öffnungen an bestimmten Stellen beschrieben, um die Source- und die Drainregionen, sowohl die Gate-Elektroden zur Realisierung eines elektrisch leitfähigen Kontaktes zu erreichen.
  • Zusammenfassung der Erfindung
  • Mit der Erfindung wird ein Verfahren zur Herstellung eines Halbleiterelementes geschaffen. Das Verfahren umfaßt das Bereitstellen eines Halbleiter-Körpers mit Source- und Drain-Bereichen und einer Gateelektrode an einem Bereich einer Oberfläche des Körpers zwischen den Source- und den Drain-Bereichen. Auf die Oberfläche des Halbleiter-Körpers wird über den Source- und Drain-Bereichen eine dielektrische Schicht aufgebracht. Über der dielektrischen Schicht und über der Gateelektrode wird ein dielektrisches Material gebildet. Über dem dielektrischen Material des Halbleiter-Körpers wird eine anorganische dielektrische Schicht gebildet. Aus der anorganischen dielektrischen Schicht wird eine Maske gebildet, so daß ausgewählte Teile des dielektrischen Materials freiliegen, wobei sich diese Bereiche über den Source- und Drainbereichen befinden. Anschließend wird ein Ätzmittel mit der Maske in Berührung gebracht, das die darunterliegenden freien Teile des dielektrischen Materials und die darunterliegenden freien Teile der dielektrischen Schicht entfernt, so daß die Teile der Source- und Drain-Bereiche freigelegt werden.
  • Mit einem solchen Verfahren wird durch die Anwendung einer anorganischen dielektrischen Maske zum Ätzen des dielektrischen Materials die Verwendung einer großen Vielzahl von Photoresist-Materialen ermöglicht. Da die Maske dielektrisch ist, ist es ferner nicht erforderlich, diese nach der Erzeugung der elektrischen Source- und Drainkontakte zu entfernen.
  • Unter einem anderen Gesichtspunkt der Erfindung wird ein Verfahren zur Herstellung eines Halbleiterelementes geschaffen. Das Verfahren umfaßt das Bereitstellen eines Halbleiter-Körpers mit Source- und Drain-Bereichen sowie einer Gateelektrode an einem Teil einer Oberfläche des Körpers zwischen den Source- und Drain-Bereichen. Auf der Oberfläche des Halbleiter-Körpers wird über den Source- und Drain-Bereichen eine dielektrische Schicht erzeugt. Über der dielektrischen Schicht und über der Gateelektrode wird ein dielektrisches Material ausgebildet. Eine anorganische dielektrische Schicht wird über dem dielektrischen Material erzeugt. Weiterhin wird eine Antireflex-Beschichtung auf der anorganischen dielektrischen Schicht erzeugt. Über der Antireflex-Beschichtung wird eine gemusterte Photoresist-Schicht gebildet. Die gemusterte Photoresist-Schicht weist Öffnungen auf, um Teile der Antireflex-Beschichtung, die über Teilen der Source- und Drain-Bereiche abgelagert ist, freizulegen. Durch Einwirken eines Ätzmittels auf den freiliegenden Teil der Antireflex-Beschichtung zum Entfernen dieser freiliegenden Teile und zum Entfernen von Teilen der anorganischen dielektrischen Schicht, die durch die entfernten Teile der Antireflex-Beschichtung freigelegt sind, wird eine Maske gebildet, wobei diese Maske die darunterliegenden Teile des dielektrischen Materials freilegt. Die Photoresist-Schicht wird entfernt. Mit der Maske wird ein Ätzmittel in Berührung gebracht, wobei mit dieser Ätzung die darunter freiliegenden Teile des dielektrischen Materials und die darunter freiliegenden Teile der dielektrischen Schicht entfernt werden, so daß die Teile der Source- und Drain- Bereiche freigelegt werden. Elektrische Source- und Drain-Kontakte werden mit den freiliegenden Teilen der Source- und Drain-Bereiche gebildet.
  • Kurze Beschreibung der Zeichnungen
  • Weiterer Einzelheiten, Merkmale und Vorteile der Erfindung ergeben sich aus der folgenden Beschreibung von bevorzugten Ausführungsformen anhand der Zeichnung. Es zeigt:
  • 1 eine schematische Darstellung eines Halbleiter während seiner Herstellung gemäß dem Stand der Technik; und
  • 2A2D schematische Darstellungen eines Halbleiterelementes während verschiedener Herstellungsschritte gemäß der Erfindung.
  • Beschreibung der bevorzugten Ausführungsformen
  • 2A zeigt einen Halbleiter, in diesem Fall einen Siliziumträger oder ein Substrat 100 mit einem Source-Bereich S und einem Drain-Bereich D, die darin ausgebildet sind. An einem Teil einer Oberfläche eines solchen Substrates ist zwischen dem Source- und dem Drain-Bereich S, D eine Gateelektrode 113 ausgebildet. Im einzelnen weist das Siliziumsubstrat 100 eine thermisch aufgewachsene Siliziumdioxid-Schicht 112 auf, die in diesem Fall eine Dicke von etwa 8 Nanometer (nm) hat. Die Gateelektrode 113 umfaßt auf der Siliziumdioxid-Schicht 112 eine dotierte polykristalline Siliziumschicht 114, die hier eine Dicke von etwa 100 nm aufweist, sowie auf der dotierten polykristallinen Siliziumschicht 114 eine Wolframsilicid-Schicht 116, die hier eine Dicke von etwa 55 nm hat, um die Gateelektrode 113 zu bilden. Somit liegt der Gatebereich 118 unter der Gateelektrode 113 zwischen dem Source- und dem Drain-Bereich S, D. Es sei darauf hingewiesen, daß sich über der Gateelektrode 113 eine Siliziumnitrid-Abdeckung 120a und Seitenwand-Zwischenlagen 120b befinden und daß über dem Source- und Drain-Bereich S, D sowie über der Siliziumnitrid-Abdeckung 120a und den Seitenwand-Zwischenlagen 120b eine Siliziumoxynitrid-Schicht 120c vorhanden ist. Weiterhin sei darauf hingewiesen, daß der Teil der Siliziumoxynitrid-Schicht 120c auf dem Source- und Drain-Bereich S, D hier eine Dicke von etwa 23 nm aufweist. Ferner beträgt in diesem Fall die Dicke der dielektrischen Deckschicht 120a und der Siliziumoxynitrid-Schicht 120c auf der Gateelektrode 113 insgesamt etwa 200 nm.
  • Als nächstes wird eine dielektrisches Schicht 122, die hier zum Beispiel mit Phosphor dotiertes Silikatglas (BSPG) ist, auf der Struktur abgelagert und gemäß der Darstellung chemisch-mechanisch zuviel auf die Oberseite der dielektrischen Schicht 120c an der Gateelektrode 113 poliert. Nach dem Rückätzen ist die Dicke der BSPG-Schicht 122 genau so groß, wie die Höhe der Gateelektrode 113 mit der Abdeckung und den Siliziumoxydnitrid-Teilen 120a, 120c daran. Auf der Struktur wird eine Oxidschicht 124, hier TEOS, bis zu einer Dicke von etwa 450 nm abgelagert.
  • Als nächstes wird auf der dielektrischen TEOS-Schicht 124 eine anorganische dielektrische Schicht 127 gebildet. Die anorganische dielektrische Schicht 127 ist in diesem Fall Siliziumnitrid mit einer minimalen Dicke von 150 nm. Die Siliziumnitrid-Schicht 127 wird mit einer durch Plasma verstärkten chemischen Aufdampf-Ablagerung aufgebracht. Als nächstes wird über der anorganischen dielektrischen Schicht 127 eine anorganische Antireflex-Beschichtung (ARC) 128 erzeugt. Die ARC 128 ist in diesem Fall Siliziumoxynitrid mit einer Dicke von zwischen etwa 30 und 70 nm. Die ARC 128 wird mit einer durch Plasma verstärkten chemischen Aufdampf-Ablagerung aufgebracht und hat eine Dicke, die so gewählt ist, daß mit der Dicke der Siliziumnitrid-Schicht 127 die erforderliche Absorption von ultraviolettem Licht geschaffen wird.
  • Als nächstes wird auf die Antireflex-Beschichtung 128 eine Photoresist-Schicht 140 aufgebracht und mit einer nicht dargestellten Maske gemusterte, anschließend ultraviolettem Licht ausgesetzt und einem Entwicklungsprozeß unterworfen, so daß Öffnungen darin entstehen, die Teile der Antireflex-Beschichtung 128, die über Teilen des Source- und Drain-Bereiches S, D liegen, freilegen.
  • Gemäß 2B wird eine Maske 131 gebildet, indem ein Ätzmittel mit der gemusterten Photoresist-Schicht 140 in Berührung gebracht wird. Das Ätzen erfolgt in diesem Fall durch ein reaktives Ionen-Ätzen mit einer Nitrid-Ätzchemie, hier RIE mit einer CF4 und O2 und Argon-Chemie. Durch diese Ätzung werden die freiliegenden Teile der Antireflex-Beschichtung 128 und Teile der anorganischen dielektrischen Schicht 127, die durch das Entfernen von Teilen der Antireflex-Beschichtung 128 freigelegt wurden, entfernt. Es ist zu beachten, daß die Ätzung zeitlich so eingestellt wird, daß sie innerhalb der TEOS-Schicht 124 endet. Somit legt diese Maske 131, die durch die geätzte ARC-Schicht 128 und die geätzte anorganische dielektrische Schicht 127 gebildet wird, die darunterliegenden Teile der dielektrischen TEOS-Schicht 124 frei.
  • Die Photoresist-Schicht 140 (2A) wird entfernt. Die durch die Maske 131 maskierte Struktur wird dann mit einem Ätzmittel in Berührung gebracht, das hier ein reaktives Ionen-Ätzmittel mit einer C4F8 und Argon und CO-Chemie ist. Es sei darauf hingewiesen, daß diese Chemie in hohem Maße selektiv ist und Siliziumdioxid mit einer wesentlich höheren Rate ätzt, als Siliziumnitrid oder Siliziumoxynitrid. Somit werden mit der Maske 131, die durch die geätzte ARC-Schicht 128 und die geätzte anorganische dielektrische Schicht 127 gebildet wurde, die freiliegenden Teile der dielektrischen TEOS-Schicht 124 entfernt. Durch die Ätzung werden auch die frei darunterliegenden Teile des dielektrischen BSPG-Materials 122 entfernt. Anschließend wird der Ätzvorgang beendet. Als nächstes werden mit einem RIE-Vorgang mit einer CHF3 und Sauerstoff-Chemie, und, sofern erforderlich, CF4, die frei darunterliegenden Teile der Siliziumoxynitrid-Schicht 120c entfernt, so daß die Teile der Source- und Drain-Bereiche S, D freigelegt werden. Es sei darauf hingewiesen, daß die ARC-Schicht 128 während des RIE-Vorgangs mit hoher Selektivität unter Verwendung von C4F8 und Argon und CO-Chemie entfernt wird, wodurch die in 2C gezeigte Struktur erzeugt wird. Es sei darauf hingewiesen, daß während des Ätzvorgangs die Ecken 135 der dielektrischen Schicht 120a entfernt oder gemäß der Darstellung gekerbt werden.
  • Nach dem Freilegen der Source- und Drain-Bereiche wird die Struktur gesäubert, um Polymere zu entfernen, die während der RIE-Verarbeitung entstanden sein könnten.
  • Als nächstes wird auf der in 2C gezeigten Struktur das dotierte polykristalline Silizium 140 abgelagert, das nach einem üblichen Rückätzen die elektrischen Kontakte des Source- und Drainbereiches S, D gemäß 2D für den MOSFET 150 erzeugt.

Claims (1)

  1. Verfahren zur Herstellung eines MOS-FET-Halbleiterelementes mit folgenden Schritten: – Bereitstellen eines Halbleiter-Körpers mit Source- und Drain-Bereichen und einer Gateelektrode an einem Teil einer Oberfläche des Körpers zwischen den Source- und Drain-Bereichen; – Erzeugen einer dielektrischen Schicht auf der Oberfläche des Halbleiter-Körpers über den Source- und Drain-Bereichen; – Erzeugen eines dielektrischen Materials mit einer Dicke größer als die Dicke der Gateelektrode über der dielektrischen Schicht und über der Gateelektrode; – Rückpolieren des dielektrischen Materials bis zur Höhe der dielektrischen Schicht an der Gate-elektrode mittels CMP; – Erzeugen einer anorganischen dielektrischen Schicht über dem dielektrischen Material; – Erzeugen einer Antireflex-Beschichtung über der anorganischen dielektrischen Schicht; – Erzeugen einer gemusterten Photoresist-Schicht über der Antireflex-Beschichtung, die Öffnungen aufweist, um Teile der Antireflex-Beschichtung freizulegen, die über Teilen der Source- und Drain-Bereiche liegen; – Erzeugen einer Maske mit folgenden Schritten: – Einwirken lassen eines Ätzmittels auf den freiliegenden Teil der Antireflex-Beschichtung, um diesen freiliegenden Teil zu entfernen und Teile der anorganischen dielektrischen Schicht zu entfernen, die durch die entfernten Teile der Antireflex-Beschichtung freigelegt werden, wobei diese Maske darunterliegende Teile des dielektrischen Materials freilegt; – Entfernen der Photoresist-Schicht; – Einwirken lassen eines Ätzmittels auf die Maske, wobei dieses Ätzmittel die frei darunterliegenden Teile des dielektrischen Materials und die frei darunterliegenden Teile der dielektrischen Schicht entfernt, um die Teile der Source- und Drain-Bereiche freizulegen; und – Erzeugen von elektrischen Source- und Drain-Kontakten mit den freiliegenden Teilen der Source- und Drain-Bereiche.
DE19929239A 1998-06-30 1999-06-25 Verfahren zur Herstellung von MOS-FET-Halbleiterelementen Expired - Fee Related DE19929239B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/107,672 US6121098A (en) 1998-06-30 1998-06-30 Semiconductor manufacturing method
US09/107,672 1998-06-30

Publications (2)

Publication Number Publication Date
DE19929239A1 DE19929239A1 (de) 2000-01-05
DE19929239B4 true DE19929239B4 (de) 2007-04-19

Family

ID=22317850

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19929239A Expired - Fee Related DE19929239B4 (de) 1998-06-30 1999-06-25 Verfahren zur Herstellung von MOS-FET-Halbleiterelementen

Country Status (2)

Country Link
US (1) US6121098A (de)
DE (1) DE19929239B4 (de)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100301050B1 (ko) * 1998-12-14 2002-06-20 윤종용 콘택을포함하는반도체장치의커패시터제조방법
US6342450B1 (en) * 1999-01-07 2002-01-29 International Business Machines Corporation Method of forming insulating spacers in DRAM chips
US6245656B1 (en) * 1999-11-08 2001-06-12 Vanguard International Semiconductor Corporation Method for producing multi-level contacts
JP2001313390A (ja) * 2000-02-29 2001-11-09 Agere Systems Inc 半導体材料における選択的レーザ・アニール
US6225234B1 (en) * 2000-03-30 2001-05-01 Lam Research Corporation In situ and ex situ hardmask process for STI with oxide collar application
WO2001086701A2 (en) * 2000-05-12 2001-11-15 Tokyo Electron Limited Method of high selectivity sac etching
US6635566B1 (en) * 2000-06-15 2003-10-21 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit
US6399512B1 (en) * 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
US7132219B2 (en) * 2001-02-02 2006-11-07 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
KR100399357B1 (ko) * 2001-03-19 2003-09-26 삼성전자주식회사 코발트 실리사이드를 이용한 반도체 장치 및 그 형성 방법
US6436841B1 (en) * 2001-09-10 2002-08-20 Taiwan Semiconductor Manufacturing Company Selectivity oxide-to-oxynitride etch process using a fluorine containing gas, an inert gas and a weak oxidant
US6633392B1 (en) 2002-01-17 2003-10-14 Advanced Micro Devices, Inc. X-ray reflectance system to determine suitability of SiON ARC layer
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US8070972B2 (en) * 2006-03-30 2011-12-06 Tokyo Electron Limited Etching method and etching apparatus
JP4205734B2 (ja) * 2006-05-25 2009-01-07 エルピーダメモリ株式会社 半導体装置の製造方法
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US7776729B2 (en) * 2006-11-30 2010-08-17 Intel Corporation Transistor, method of manufacturing same, etchant for use during manufacture of same, and system containing same
US7772064B2 (en) 2007-03-05 2010-08-10 United Microelectronics Corp. Method of fabricating self-aligned contact
US8133659B2 (en) * 2008-01-29 2012-03-13 Brewer Science Inc. On-track process for patterning hardmask by multiple dark field exposures
US9640396B2 (en) * 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8282846B2 (en) * 2010-02-27 2012-10-09 National Semiconductor Corporation Metal interconnect structure with a side wall spacer that protects an ARC layer and a bond pad from corrosion and method of forming the metal interconnect structure
US8791023B2 (en) * 2012-08-31 2014-07-29 Eastman Kodak Company Patterned thin film dielectric layer formation
US8927434B2 (en) * 2012-08-31 2015-01-06 Eastman Kodak Company Patterned thin film dielectric stack formation
US20140065838A1 (en) * 2012-08-31 2014-03-06 Carolyn R. Ellinger Thin film dielectric layer formation
CN107731737B (zh) * 2016-08-12 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5378659A (en) * 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
US5518962A (en) * 1992-11-26 1996-05-21 Nec Corporation Planarized interlayer insulating film formed of stacked BPSG film and ozone-teos NSG film in semiconductor device and method for forming the same
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4378628A (en) * 1981-08-27 1983-04-05 Bell Telephone Laboratories, Incorporated Cobalt silicide metallization for semiconductor integrated circuits
US5206187A (en) * 1991-08-30 1993-04-27 Micron Technology, Inc. Method of processing semiconductor wafers using a contact etch stop
US5246883A (en) * 1992-02-06 1993-09-21 Sgs-Thomson Microelectronics, Inc. Semiconductor contact via structure and method
JP2765478B2 (ja) * 1994-03-30 1998-06-18 日本電気株式会社 半導体装置およびその製造方法
US5468342A (en) * 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5472913A (en) * 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
EP0697723A3 (de) * 1994-08-15 1997-04-16 Ibm Verfahren zur Metallisierung einer isolierenden Schicht
US5922622A (en) * 1996-09-03 1999-07-13 Vanguard International Semiconductor Corporation Pattern formation of silicon nitride
JP3082688B2 (ja) * 1996-11-05 2000-08-28 ヤマハ株式会社 配線形成法
US5920796A (en) * 1997-09-05 1999-07-06 Advanced Micro Devices, Inc. In-situ etch of BARC layer during formation of local interconnects

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5518962A (en) * 1992-11-26 1996-05-21 Nec Corporation Planarized interlayer insulating film formed of stacked BPSG film and ozone-teos NSG film in semiconductor device and method for forming the same
US5378659A (en) * 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)

Also Published As

Publication number Publication date
US6121098A (en) 2000-09-19
DE19929239A1 (de) 2000-01-05

Similar Documents

Publication Publication Date Title
DE19929239B4 (de) Verfahren zur Herstellung von MOS-FET-Halbleiterelementen
DE69025300T2 (de) Integrierte Schaltung mit einer planarisierten dielektrischen Schicht
EP0010624B1 (de) Verfahren zur Ausbildung sehr kleiner Maskenöffnungen für die Herstellung von Halbleiterschaltungsanordnungen
DE69231803T2 (de) Verfahren zur Herstellung einer Halbleiteranordnung
DE69623679T2 (de) Verfahren zur Herstellung einer Grabenstruktur für die Isolation in einer integrierten Schaltung
DE68917995T2 (de) Verfahren zum Herstellen einer Halbleitervorrichtung.
EP1444724B1 (de) Photolithographisches strukturierungsverfahren mit einer durch ein plasmaverfahren abgeschiedenen kohlenstoff-hartmaskenschicht mit diamantartiger härte
EP0010596B1 (de) Verfahren zur Ausbildung von Maskenöffnungen bei der Herstellung von Halbleiteranordnungen
DE60038423T2 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE4301451C2 (de) Verfahren zur Bildung eines leitfähigen Stopfens in einer Isolierschicht
DE19649445B4 (de) Verfahren zum Bilden eines Feldoxidfilms in einem Halbleiterelement
DE68923305T2 (de) Elektrische Leitungen für elektronische Bauelemente.
DE3334624C2 (de)
DE3784758T2 (de) Herstellungsverfahren für EPROM-Zellen mit Oxid-Nitrid-oxid-Dielektrikum.
DE10030308A1 (de) Verfahren zur Herstellung eines Kontaktstifts und eines Halbleiterbauelementes
DE2832740A1 (de) Verfahren zum herstellen einer halbleitervorrichtung
DE3028612T1 (de) Selektive Plasmaätzung von dielektrischen Masken in Gegenwart von nativen Oxiden von Halbleitern aus Verbindungen der Gruppen IH-V
DE69511877T2 (de) Feldemittiervorrichtung mit selbstjustierter torelektrode und verfahren zu deren herstellung
DE10256978B4 (de) Verfahren zum Herstellen einer Flashspeicherzelle
DE69934384T2 (de) Verfahren zur herstellung von seitlich dielektrisch isolierten halbleiterbauelementen
DE3689971T2 (de) Herstellung einer halbleiteranordnung.
EP0855088B1 (de) Verfahren zum erzeugen einer grabenisolation in einem substrat
DE69534412T2 (de) III-V-Halbleiterstruktur und Verfahren zu deren Herstellung
DE69611632T2 (de) Planare Isolation für integrierte Schaltungen
DE19531773A1 (de) Halbleiter-Bearbeitungsverfahren zum Ausbilden einer elektrischen Verbindung zwischen einer äußeren Schicht und einer inneren Schicht, und integrierter Schaltkreis

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: QIMONDA AG, 81739 MUENCHEN, DE

R081 Change of applicant/patentee

Owner name: INFINEON TECHNOLOGIES AG, DE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

R081 Change of applicant/patentee

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: INFINEON TECHNOLOGIES AG, 85579 NEUBIBERG, DE

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee