DE102020122151A1 - SEMICONDUCTOR DEVICE AND METHOD - Google Patents

SEMICONDUCTOR DEVICE AND METHOD Download PDF

Info

Publication number
DE102020122151A1
DE102020122151A1 DE102020122151.7A DE102020122151A DE102020122151A1 DE 102020122151 A1 DE102020122151 A1 DE 102020122151A1 DE 102020122151 A DE102020122151 A DE 102020122151A DE 102020122151 A1 DE102020122151 A1 DE 102020122151A1
Authority
DE
Germany
Prior art keywords
source
drain
epitaxial
region
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020122151.7A
Other languages
German (de)
Inventor
Yi-Bo Liao
Yu-Xuan Huang
Pei-Yu Wang
Cheng-Ting Chung
Ching-Wei Tsai
Hou-Yu Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/998,576 external-priority patent/US11251308B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020122151A1 publication Critical patent/DE102020122151A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

In einer Ausführungsform umfasst eine Vorrichtung: eine erste Zwischenverbindungsstruktur, umfassend Metallisierungsstrukturen; eine zweite Zwischenverbindungsstruktur, umfassend eine Stromschiene; eine Vorrichtungsschicht zwischen der ersten Zwischenverbindungsstruktur und der zweiten Zwischenverbindungsstruktur, wobei die Vorrichtungsschicht einen ersten Transistor umfasst, und der erste Transistor eine epitaktische Source-/Drain-Region umfasst; und eine leitfähige Durchkontaktierung, die sich durch die Vorrichtungsschicht erstreckt, wobei die leitfähige Durchkontaktierung die Stromschiene mit den Metallisierungsstrukturen verbindet und die leitfähige Durchkontaktierung die epitaktische Source-/Drain-Region kontaktiert.In one embodiment, a device comprises: a first interconnect structure comprising metallization structures; a second interconnection structure comprising a bus bar; a device layer between the first interconnect structure and the second interconnect structure, the device layer including a first transistor and the first transistor including an epitaxial source / drain region; and a conductive via extending through the device layer, the conductive via connecting the power rail to the metallization structures and the conductive via contacting the epitaxial source / drain region.

Description

PRIORITÄTSANSPRUCH UND QUERVERWEISPRIORITY CLAIM AND CROSS REFERENCE

Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/016,520 , eingereicht am 28. April 2020, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen ist.This application claims priority from U.S. Provisional Patent Application No. 63 / 016,520 , filed April 28, 2020, which is incorporated herein by reference.

HINTERGRUNDBACKGROUND

Halbleitervorrichtungen kommen in einer Vielzahl elektronischer Anwendungen zum Einsatz, wie etwa beispielsweise in persönlichen Computern, Handys, Digitalkameras und anderer elektronischer Ausrüstung. Halbleitervorrichtungen werden üblicherweise durch sequenzielle Abscheidung von Isolier- oder Dielektrikumschichten, leitfähigen Schichten und Halbleiterschichten aus Material über einem Halbleitersubstrat und Strukturierung der verschiedenen Materialschichten unter Verwendung von Lithographie zum Bilden von Schaltungskomponenten und Elementen darauf hergestellt.Semiconductor devices are used in a variety of electronic applications, such as, for example, personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layers of material over a semiconductor substrate and patterning the various layers of material using lithography to form circuit components and elements thereon.

Die Halbleiterindustrie verbessert durch ständige Verringerungen der Mindestelementegröße fortlaufend die Integrationsdichte verschiedener elektronischer Komponenten (z. B. von Transistoren, Dioden, Widerständen, Kondensatoren usw.), sodass mehr Komponenten in einen bestimmten Bereich integriert werden können. Bei sinkenden Mindestelementegrößen treten jedoch weitere Probleme auf, die behandelt werden sollen.The semiconductor industry is continuously improving the integration density of various electronic components (e.g. transistors, diodes, resistors, capacitors, etc.) by constantly reducing the minimum element size, so that more components can be integrated into a given area. However, as the minimum element sizes decrease, there are other problems that should be addressed.

FigurenlisteFigure list

Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.

  • 1 illustriert ein Beispiel von vereinfachten Nanostrukturfeldeffekttransistoren (Nano-FETs) nach einigen Ausführungsformen.
  • 2 bis 14B sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von Nano-FETs nach einigen Ausführungsformen.
  • 15 bis 22 sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von Halbleitervorrichtungen nach einigen Ausführungsformen.
  • 23A bis 31 sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von Halbleitervorrichtungen nach einigen anderen Ausführungsformen.
  • 32A bis 40 sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von Halbleitervorrichtungen nach einigen anderen Ausführungsformen.
Aspects of the present disclosure can be best understood from the following detailed description in conjunction with the accompanying drawings. It should be noted that, in accordance with industry practice, various features are not shown to scale. Indeed, the dimensions of the various features may be arbitrarily enlarged or reduced for clarity of explanation.
  • 1 illustrates an example of simplified nanostructured field effect transistors (nano-FETs) according to some embodiments.
  • 2 until 14B Fig. 14 are various views of intermediate stages in the fabrication of nano-FETs according to some embodiments.
  • 15th until 22nd FIG. 12 are various views of intermediate stages in the manufacture of semiconductor devices in accordance with some embodiments.
  • 23A until 31 FIG. 13 are various views of intermediate stages in the manufacture of semiconductor devices in accordance with some other embodiments.
  • 32A until 40 FIG. 13 are various views of intermediate stages in the manufacture of semiconductor devices in accordance with some other embodiments.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele für die Umsetzung verschiedener Merkmale der Erfindung bereit. Spezifische Beispiele von Bauteilen und Anordnungen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele, die nicht als einschränkend zu verstehen sind. Beispielsweise kann das Bilden eines ersten Elements oder eines zweiten Elements in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und zweite Element in direktem Kontakt gebildet sind, und es kann außerdem Ausführungsformen umfassen, in denen weitere Elemente zwischen dem ersten und zweiten Element gebildet werden können, sodass das erste und zweite Element nicht in direktem Kontakt stehen müssen. Weiterhin kann diese Offenbarung Referenzziffern und/oder -buchstaben der verschiedenen Beispiele wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und diktiert nicht für sich eine Beziehung zwischen den verschiedenen erklärten Ausführungsformen und/oder Konfigurationen.The following disclosure provides many different embodiments or examples of implementing various features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are of course only examples that are not to be understood as restrictive. For example, in the description below, forming a first element or a second element may include embodiments in which the first and second elements are formed in direct contact, and may also include embodiments in which further elements are formed between the first and second elements so that the first and second elements do not have to be in direct contact. Furthermore, this disclosure may repeat reference numbers and / or letters of the various examples. This repetition is for simplicity and clarity and does not by itself dictate any relationship between the various embodiments and / or configurations illustrated.

Ferner können räumlich relative Begriffe wie „unter“, „darunter“, „unterer“, „über“, „oberer“ und dergleichen hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den Figuren illustriert zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in der Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Bezeichnungen, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden.Furthermore, spatially relative terms such as “below”, “below”, “lower”, “above”, “upper” and the like may be used herein for ease of description to describe the relationship of an element or feature to one or more other element (s ) or feature (s) as illustrated in the figures. The spatially relative terms are intended to encompass various orientations of the device in use or in operation in addition to the orientation illustrated in the figures. The device may be otherwise oriented (rotated 90 degrees or in a different orientation) and the spatially relative terms used herein may be construed accordingly.

Nach verschiedenen Ausführungsformen ist eine Halbleitervorrichtung gebildet, die eine Vorrichtungsschicht aufweist, die zwischen zwei Zwischenverbindungsstrukturen gebildet ist. Die Vorrichtungsschicht umfasst Transistoren, z. B. Nanostruktur-Feldeffekttransistoren (Nano-FETs). Eine der Zwischenverbindungsstruktur befindet sich an der Vorderseite der Vorrichtungsschicht und umfasst leitfähige Elemente, die die Transistoren der Vorrichtungsschicht verbinden, um funktionale Schaltungen zu bilden. Die andere der Zwischenverbindungsstruktur befindet sich an der Rückseite der Vorrichtungsschicht und umfasst leitfähige Elemente, die verwendet werden, um Leistungsschaltungen für die Vorrichtungsschicht bereitzustellen. Speziell umfasst die rückseitige Zwischenverbindungsstruktur eigene Stromschienen zum Bereitstellen einer Referenzspannung, Versorgungsspannung oder dergleichen für die funktionalen Schaltungen. Leitfähige Durchkontaktierungen sind durch die Vorrichtungsschicht gebildet und verbinden die leitfähigen Elemente der rückseitigen Zwischenverbindungsstruktur mit den leitfähigen Elementen der vorderseitigen Zwischenverbindungsstruktur. Das Bilden solcher leitfähigen Durchkontaktierungen erlaubt das Verbinden der Zwischenverbindungsstrukturen durch leitfähige Elemente statt durch Halbleiterelemente, was die Leistung der entstehenden Halbleitervorrichtungen verbessern kann.According to various embodiments, a semiconductor device is formed having a device layer formed between two interconnect structures. The device layer comprises transistors, e.g. B. Nanostructure field effect transistors (Nano-FETs). One of the interconnection structure is at the front of the Device layer and includes conductive elements that connect the transistors of the device layer to form functional circuits. The other of the interconnect structure is at the back of the device layer and includes conductive elements that are used to provide power circuitry for the device layer. In particular, the rear interconnection structure comprises its own busbars for providing a reference voltage, supply voltage or the like for the functional circuits. Conductive vias are formed through the device layer and connect the conductive elements of the rear interconnect structure to the conductive elements of the front interconnect structure. The formation of such conductive vias allows the interconnect structures to be connected by conductive elements rather than semiconductor elements, which can improve the performance of the resulting semiconductor devices.

1 illustriert ein Beispiel von vereinfachten Nano-FETs nach einigen Ausführungsformen. 1 ist eine dreidimensionale Schnittansicht, in der einige Elemente der Nano-FETs zur Klarheit der Illustration ausgelassen wurden. Die Nano-FETs können Nanosheet-Feldeffekttransistoren (NSFETs), Nanowire-Feldeffekttransistoren (NWFETs), Gate-all-Around-Feldeffekttransistoren (GAAFETs) oder dergleichen sein. 1 illustrates an example of simplified nano-FETs according to some embodiments. 1 Figure 13 is a three-dimensional sectional view with some elements of the nano-FETs omitted for clarity of illustration. The nano-FETs can be nanosheet field effect transistors (NSFETs), nanowire field effect transistors (NWFETs), gate-all-around field effect transistors (GAAFETs) or the like.

Die Nano-FETs umfassen Nanostrukturen 56 über einem Substrat 50, wie etwa über Finnen 54, die sich von dem Substrat 50 erstrecken. Die Nanostrukturen 56 sind eine Halbleiterschicht, die als Kanalregionen für die Nano-FETs dienen. Isolierregionen 60, wie etwa Shallow-Trench-Isolierregionen (STI-Regionen) sind über dem Substrat 50 und zwischen benachbarten der Finnen 54 angeordnet, die über und zwischen benachbarten Isolierregionen 60 hervortreten können. Auch wenn die Isolierregionen 60 als von dem Substrat 50 getrennt beschrieben/illustriert sind, kann sich der Begriff „Substrat“ auf das Substrat 50 alleine oder auf eine Kombination aus dem Substrat 50 und den Isolierregionen 60 beziehen. Weiterhin sind zwar die Finnen 54 als einzelne, fortlaufende Materialien als Substrat 50 illustriert, die Finnen 54 und/oder das Substrat 50 können jedoch ein einzelnes Material oder mehrere Materialien umfassen. In diesem Zusammenhang beziehen sich die Finnen 54 auf den Abschnitt, der sich über und zwischen den benachbarten Isolierregionen 60 erstreckt.The nano-FETs comprise nanostructures 56 over a substrate 50 like about Finns 54 that differ from the substrate 50 extend. The nanostructures 56 are a semiconductor layer that serve as channel regions for the nano-FETs. Isolation regions 60 such as shallow trench isolation regions (STI regions) are above the substrate 50 and between adjacent ones of the fins 54 placed above and between adjacent isolation regions 60 can emerge. Even if the isolation regions 60 than from the substrate 50 are described / illustrated separately, the term “substrate” can refer to the substrate 50 alone or on a combination of the substrate 50 and the isolation regions 60 relate. The Finns are still there 54 as single, continuous materials as a substrate 50 illustrated, the Finns 54 and / or the substrate 50 however, may comprise a single material or multiple materials. In this context, the Finns refer 54 on the section that extends over and between the adjacent isolation regions 60 extends.

Gatestrukturen 100 sind um die Nanostrukturen 56 gewickelt. Die Gatestrukturen 100 umfassen Gatedielektrika 102 und Gateelektroden 104. Die Gatedielektrika 102 befinden sich entlang oberer Flächen; Seitenwänden und unterer Flächen der Nanostrukturen 56 und können sich entlang von Seitenwänden und/oder über obere Flächen der Finnen 54 erstrecken. Die Gateelektroden 104 befinden sich über den Gatedielektrika 102. Die Epitaktischen Source-/Drain-Regionen 92 sind an gegenüberliegenden Seiten der Gatestrukturen 100 angeordnet. In Ausführungsformen, in denen mehrere Transistoren gebildet werden, können die epitaktischen Source-/Drain-Regionen 92 zwischen verschiedenen Transistoren geteilt werden. Beispielsweise können benachbarte epitaktische Source-/Drain-Regionen 92 durch epitaktisches Wachstum oder durch Koppeln der epitaktischen Source-/Drain-Regionen 92 mit einem selben Source-/Drain-Kontakt elektrisch gekoppelt sein, wie etwa durch Verschmelzen der epitaktischen Source-/Drain-Regionen 92. Eine oder mehrere Zwischenschichtdielektrikumschicht(en) (ILD-Schichten) (nachfolgend genauer erklärt) befinden sich über den epitaktischen Source-/Drain-Regionen 92 und/oder Gatestrukturen 100, durch die Kontakte (nachfolgend genauer erklärt) zu epitaktischen den Source-/Drain-Regionen 92 und Gateelektroden 104 gebildet sind.Gate structures 100 are about the nanostructures 56 wrapped. The gate structures 100 include gate dielectrics 102 and gate electrodes 104 . The gate dielectrics 102 are along upper surfaces; Sidewalls and lower surfaces of the nanostructures 56 and can extend along side walls and / or over top surfaces of the fins 54 extend. The gate electrodes 104 are located above the gate dielectrics 102 . The epitaxial source / drain regions 92 are on opposite sides of the gate structures 100 arranged. In embodiments in which multiple transistors are formed, the epitaxial source / drain regions can be 92 shared between different transistors. For example, adjacent epitaxial source / drain regions 92 by epitaxial growth or by coupling the epitaxial source / drain regions 92 be electrically coupled to a same source / drain contact, such as by fusing the epitaxial source / drain regions 92 . One or more interlayer dielectric (ILD) layers (explained in more detail below) are located over the epitaxial source / drain regions 92 and / or gate structures 100 , through the contacts (explained in more detail below) to epitaxial source / drain regions 92 and gate electrodes 104 are formed.

Einige hierin besprochene Ausführungsformen werden im Zusammenhang mit Nano-FETs besprochen, die unter Verwendung eines Gate-zuletzt-Prozesses. In anderen Ausführungsformen kann ein Gate-zuerst-Prozess verwendet werden. Außerdem betrachten einige Ausführungsformen Aspekte, die in planaren Vorrichtungen verwendet werden, wie etwa in planaren FETs oder in Finnen-Feldeffekttransistoren (FinFETs).Some embodiments discussed herein are discussed in the context of nano-FETs using a gate-last process. In other embodiments, a gate-first process can be used. In addition, some embodiments consider aspects used in planar devices, such as in planar FETs or in fin field effect transistors (FinFETs).

1 illustriert ferner Referenz einen Querschnitt, der in späteren Figuren verwendet wird. Querschnitt A-A verläuft entlang einer Längsachse einer Nanostruktur 56 und in einer Richtung von, beispielsweise, einem Stromfluss zwischen den epitaktischen Source-/Drain-Regionen 92 eines Nano-FETs. Aufeinander folgende Figuren beziehen sich um der Klarheit Willen auf diesen Referenzquerschnitt. 1 reference also illustrates a cross section used in later figures. Cross section AA runs along a longitudinal axis of a nanostructure 56 and in a direction of, for example, current flow between the epitaxial source / drain regions 92 of a nano-FET. Successive figures relate to this reference cross-section for the sake of clarity.

2 bis 14B sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von Nano-FETs nach einigen Ausführungsformen. 2, 3, 4, 5A, 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A und 14B sind dreidimensionale Ansichten, die eine ähnliche dreidimensionale Ansicht wie 1 zeigen, wobei jedoch eine Gatestruktur und zwei Finnen gezeigt sind. 5B, 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B und 14B sind Querschnittsansichten, die entlang von Referenzquerschnitt A-A in 1 illustriert sind. 5A, 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A und 14B sind vereinfachte dreidimensionale Ansichten und zeigen nicht alle Elemente der entsprechenden 5B, 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B und 14B. 2 until 14B Fig. 14 are various views of intermediate stages in the fabrication of nano-FETs according to some embodiments. 2 , 3 , 4th , 5A , 6A , 7A , 8A , 9A , 10A , 11A , 12A , 13A and 14B are three-dimensional views that have a similar three-dimensional view as 1 but showing a gate structure and two fins. 5B , 6B , 7B , 8B , 9B , 10B , 11B , 12B , 13B and 14B are cross-sectional views taken along reference cross-section AA in FIG 1 are illustrated. 5A , 6A , 7A , 8A , 9A , 10A , 11A , 12A , 13A and 14B are simplified three-dimensional views and do not show all elements of the corresponding 5B , 6B , 7B , 8B , 9B , 10B , 11B , 12B , 13B and 14B .

In 2 ist ein Substrat 50 zum Bilden von Nano-FETs bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat sein, wie etwa ein Bulkhalbleiter, ein Isolator-Substrat (SOI-Substrat) oder dergleichen, das dotiert (z. B. mit einem p- oder n-Dotiermittel) oder undotiert sein kann. Das Substrat 50 kann ein Wafer sein, wie etwa ein Siliziumwafer. Allgemein ist ein SOI-Substrat eine Schicht eines Halbleitermaterials, das auf einer Isolierschicht gebildet ist. Die Isolierschicht kann beispielsweise eine Buried-Oxid-Schicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolierschicht wird an einem Substrat bereitgestellt, üblicherweise an Silizium oder einem Glassubstrat. Andere Substrate, wie etwa ein mehrlagiges oder Gefällesubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium; Germanium; einen Verbundhalbleiter einschließlich Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, einschließlich Siliziumgermanium, Galliumarsenidphosphid, Aluminiumindiumarsenid, Aluminiumgalliumarsemid, Galliumindiumarsenid, Galliumindiumphosphid und/oder Galliumindiumarsenidphosphid; oder Kombinationen daraus umfassen.In 2 is a substrate 50 for forming nano-FETs. The substrate 50 can be a semiconductor substrate, such as a bulk semiconductor, an insulator substrate (SOI substrate) or the like, which may be doped (e.g. with a p- or n-dopant) or undoped. The substrate 50 can be a wafer such as a silicon wafer. In general, an SOI substrate is a layer of semiconductor material formed on an insulating layer. The insulating layer can be, for example, a buried oxide layer (BOX layer), a silicon oxide layer or the like. The insulating layer is provided on a substrate, usually silicon or a glass substrate. Other substrates, such as a multilayer or gradient substrate, can also be used. In some embodiments, the semiconductor material of the substrate can be 50 Silicon; Germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and / or indium antimonide; an alloy semiconductor including silicon germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium arsenide, gallium indium phosphide, and / or gallium indium arsenide phosphide; or combinations thereof.

Das Substrat 50 weist eine n-Region 50N und eine p-Region 50P auf. Die n-Region 50N kann dem Bilden von n-Vorrichtungen wie NMOS Transistoren dienen, z. B. n-Nano-FETs, und die p-Region 50P kann dem Bilden von p-Vorrichtungen dienen, wie etwa PMOS Transistoren, z. B. p-Nano-FETs. Die n-Region 50N kann physisch von der Region 50P getrennt sein (nicht getrennt illustriert), und jede beliebige Anzahl von Vorrichtungselementen (z. B. andere aktive Vorrichtungen, dotierte Regionen, Isolierstrukturen usw.) kann zwischen der n-Region 50N und der p-Region 50P angeordnet sein.The substrate 50 has an n region 50N and a p-region 50P on. The n region 50N can serve to form n-devices like NMOS transistors, e.g. B. n-nano-FETs, and the p-region 50P can be used to form p-devices such as PMOS transistors, e.g. B. p-nano-FETs. The n region 50N can be physically different from the region 50P be separate (not separately illustrated), and any number of device elements (e.g., other active devices, doped regions, isolation structures, etc.) may be between the n-region 50N and the p-region 50P be arranged.

Das Substrat 50 kann leicht mit einer p- oder n-Unreinheit dotiert sein. Die Anti-Punch-Through-Implantierung (APT-Implantierung) kann daher an einem oberen Abschnitt des Substrat 50 erfolgen, um eine APT-Region zu bilden. Während der APT-Implantierung können Dotiermittel in der n-Region 50N und der p-Region 50P implantiert werden. Die Dotiermittel können einen Leitfähigkeitstyp aufweisen, der einem Leitfähigkeitstyp von Source-/Drain-Regionen entgegensteht, der nachfolgend in der n-Region 50N und der p-Region 50P gebildet wird. Die APT-Region kann sich unter nachfolgend gebildeten Source-/Drain-Regionen in den Nano-FETs erstrecken, die in nachfolgenden Prozessen gebildet werden. Die APT-Region kann verwendet werden, um das Leck von den Source-/Drain-Regionen in das Substrat 50 zu verringern. In einigen Ausführungsformen kann die Dotierungskonzentration in der APT-Region im Bereich von ca. 1018 cm-3 bis ca. 1019 cm-3 liegen.The substrate 50 can be lightly doped with a p- or n-impurity. The anti-punch-through implant (APT implant) can therefore be carried out on an upper portion of the substrate 50 to form an APT region. During the APT implant, dopants can be present in the n-region 50N and the p-region 50P be implanted. The dopants can have a conductivity type that opposes a conductivity type of source / drain regions, which is subsequently in the n-region 50N and the p-region 50P is formed. The APT region can extend under subsequently formed source / drain regions in the nano-FETs that are formed in subsequent processes. The APT region can be used to block the leakage from the source / drain regions into the substrate 50 to reduce. In some embodiments, the doping concentration in the APT region can range from about 10 18 cm -3 to about 10 19 cm -3 .

Ein Mehrschichtenstapel 52 ist über dem Substrat 50 gebildet. Der Mehrschichtenstapel 52 umfasst abwechselnd erste Halbleiterschichten 52A und zweite Halbleiterschichten 52B. Die ersten Halbleiterschichten 52A sind aus einem ersten Halbleitermaterial gebildet und die zweiten Halbleiterschichten 52B sind aus einem zweiten Halbleitermaterial gebildet. Die Halbleitermaterialien können jeweils aus den Kandidatenhalbleitermaterialien des Substrats 50 gewählt sein. In der illustrierten Ausführungsform umfasst der Mehrschichtenstapel 52 vier Schichten jeder der ersten Halbleiterschichten 52A und der zweiten Halbleiterschichten 52B. Es sollte erkannt werden, dass der mehrschichtige Stapel 52 jede beliebige Anzahl der ersten Halbleiterschichten 52A und der zweiten Halbleiterschichten 52B umfassen kann.A multilayer stack 52 is above the substrate 50 educated. The multilayer stack 52 alternately comprises first semiconductor layers 52A and second semiconductor layers 52B . The first semiconductor layers 52A are formed from a first semiconductor material and the second semiconductor layers 52B are formed from a second semiconductor material. The semiconductor materials can each be selected from the candidate semiconductor materials of the substrate 50 be chosen. In the illustrated embodiment, the multilayer stack comprises 52 four layers of each of the first semiconductor layers 52A and the second semiconductor layers 52B . It should be recognized that the multilayer stack 52 any number of the first semiconductor layers 52A and the second semiconductor layers 52B may include.

In der illustrierten Ausführungsform werden die zweiten Halbleiterschichten 52B verwendet, Kanalregionen für Nano-FETs in der n-Region 50N und der p-Region 50P zu bilden. Die ersten Halbleiterschichten 52A sind Opferschichten (oder Dummyschichten), die in nachfolgender Verarbeitung entfernt werden, um die oberen Flächen und die unteren Flächen der zweiten Halbleiterschichten 52B in beiden Regionen offenzulegen. Das zweite Halbleitermaterial der zweiten Halbleiterschichten 52B ist ein Material, das sich für n- und p-Nano-FETs eignet, wie etwa Silizium, und das erste Halbleitermaterial der ersten Halbleiterschichten 52A ist ein Material, das eine hohe Ätzselektivität von dem Ätzen des zweiten Halbleitermaterials aufweist, wie etwa Siliziumgermanium.In the illustrated embodiment, the second semiconductor layers 52B used channel regions for nano-FETs in the n-region 50N and the p-region 50P to build. The first semiconductor layers 52A are sacrificial layers (or dummy layers), which are removed in subsequent processing, around the upper surfaces and the lower surfaces of the second semiconductor layers 52B to be disclosed in both regions. The second semiconductor material of the second semiconductor layers 52B is a material suitable for n- and p-type nano-FETs, such as silicon, and the first semiconductor material of the first semiconductor layers 52A is a material that has a high etching selectivity from the etching of the second semiconductor material, such as silicon germanium.

In einer anderen Ausführungsform werden die ersten Halbleiterschichten 52A verwendet, um Kanalregionen für die Nano-FETs in einer Region zu bilden (z. B. der p-Region 50P), und die zweiten Halbleiterschichten 52B werden verwendet, Kanalregionen für die Nano-FETs in einer anderen Region zu bilden (z. B. der n-Region 50N). Das erste Halbleitermaterial der ersten Halbleiterschichten 52A kann sich für p-Nano-FETs eignen, wie etwa Siliziumgermanium (z. B. SixGe1-x, wobei x im Bereich von 0 bis 1 liegen kann), reines oder im Wesentlichen reines Germanium, ein III-V-Verbindungshalbleiter, ein II-VI-Verbindungshalbleiter oder dergleichen, und das zweite Halbleitermaterial der zweiten Halbleiterschichten 52B kann sich für n-Nano-FETs eignen, wie etwa Silizium, Siliziumkarbid, ein III-V-Verbindungshalbleiter, ein II-VI-Verbindungshalbleiter oder dergleichen. Das erste Halbleitermaterial und das zweite Halbleitermaterial können eine hohe Ätzselektivität für das gegenseitige Ätzen aufweisen, sodass die ersten Halbleiterschichten 52A ohne Entfernen der zweiten Halbleiterschichten 52B in der n-Region 50N entfernt werden können, und die zweiten Halbleiterschichten 52B ohne Entfernen der ersten Halbleiterschichten 52A in der p-Region 50P entfernt werden können.In another embodiment, the first semiconductor layers 52A used to form channel regions for the nano-FETs in a region (e.g. the p-region 50P ), and the second semiconductor layers 52B are used to form channel regions for the nano-FETs in another region (e.g. the n-region 50N ). The first semiconductor material of the first semiconductor layers 52A may be suitable for p-nano-FETs, such as silicon germanium (e.g. Si x Ge 1-x , where x can be in the range from 0 to 1), pure or essentially pure germanium, a III-V compound semiconductor , a II-VI compound semiconductor or the like, and the second semiconductor material of the second semiconductor layers 52B can be suitable for n-nano-FETs, such as silicon, silicon carbide, a III-V compound semiconductor, a II-VI compound semiconductor or the like. The first semiconductor material and the second semiconductor material can have a high etching selectivity for the mutual etching, so that the first semiconductor layers 52A without removing the second semiconductor layers 52B in the n region 50N can be removed, and the second semiconductor layers 52B without removing the first semiconductor layers 52A in the p region 50P can be removed.

Jede der Schichten des Mehrschichtenstapels 52 kann unter Verwendung eines Prozesses wie Dampfphasenepitaxie (VPE), Molekularstrahlepitaxie (MBE), chemische Gasphasenabscheidung (CVD), Atomlagenabscheidung (ALD) oder dergleichen gebildet sein. Jede der Schichten kann mit einer geringen Dicke gebildet sein, wie etwa einer Dicke in einem Bereich von ca. 5 nm bis ca. 30 nm. In einigen Ausführungsformen ist eine Gruppe Schichten (z. B. die zweiten Halbleiterschichten 52B) gebildet, dünner als die anderen Gruppen von Schichten zu sein (z. B. die ersten Halbleiterschichten 52A). Beispielsweise kann in Ausführungsformen, in denen die zweiten Halbleiterschichten 52B verwendet werden, um Kanalregionen zu bilden, und die ersten Halbleiterschichten 52A Opferschichten (oder Dummyschichten) sind, die ersten Halbleiterschichten 52A mit einer ersten Dicke T1 gebildet sein und die zweiten Halbleiterschichten 52B können mit einer zweiten Dicke T2 gebildet sein, wobei die zweite Dicke T2 von ca. 30 % bis ca. 60 % geringer ist als die erste Dicke T1. Bilden der zweiten Halbleiterschichten 52B mit einer geringeren Dicke erlaubt das Bilden der Kanalregionen mit einer höheren Dichte.Each of the layers of the multilayer stack 52 can using a process such as vapor phase epitaxy (VPE), molecular beam epitaxy (MBE), chemical vapor deposition (CVD), atomic layer deposition (ALD) or the like. Each of the layers may be formed to have a small thickness, such as a thickness in a range of about 5 nm to about 30 nm. In some embodiments, a group is layers (e.g., the second semiconductor layers 52B ) formed to be thinner than the other groups of layers (e.g. the first semiconductor layers 52A ). For example, in embodiments in which the second semiconductor layers 52B can be used to form channel regions and the first semiconductor layers 52A Sacrificial layers (or dummy layers) are the first semiconductor layers 52A be formed with a first thickness T 1 and the second semiconductor layers 52B can be formed with a second thickness T 2 , the second thickness T 2 being from about 30% to about 60% less than the first thickness T 1 . Forming the second semiconductor layers 52B having a smaller thickness allows the channel regions to be formed with a higher density.

In 3 werden Gräben in das Substrat 50 und den Mehrschichtenstapel 52 geätzt, um Finnen 54 und Nanostrukturen 56 zu bilden. Die Finnen 54 sind Halbleiterstreifen, die in dem Substrat 50 strukturiert sind. Die Nanostrukturen 56 umfassen die verbleibenden Abschnitte des Mehrschichtenstapels 52 an den Finnen 54. Speziell umfassen die Nanostrukturen 56 ein Abwechseln erster Nanostrukturen 56A und zweiter Nanostrukturen 56B. Die ersten Nanostrukturen 56A und die zweiten Nanostrukturen 56B sind aus verbleibenden Abschnitten der ersten Halbleiterschichten 52A bzw. der zweiten Halbleiterschichten 52B gebildet. Nach dem Bilden sind die zweiten Nanostrukturen 56B in den Zwischenebenen der Struktur jeweils zwischen zwei der ersten Nanostrukturen 56A angeordnet. Das Ätzen kann jeder akzeptable Ätzprozess sein, wie etwa ein reaktives Ionenätzen (RIE), neutrales Strahlätzen (NBE), dergleichen oder eine Kombination daraus, und kann mit Masken 58 ausgeführt werden, die eine Struktur der Finnen 54 und der Nanostrukturen 56 aufweist. Das Ätzen kann anisotrop sein.In 3 become trenches in the substrate 50 and the multilayer stack 52 etched to Finns 54 and nanostructures 56 to build. Finns 54 are semiconductor strips that are in the substrate 50 are structured. The nanostructures 56 comprise the remaining portions of the multilayer stack 52 to the Finns 54 . Specifically, include the nanostructures 56 alternating first nanostructures 56A and second nanostructures 56B . The first nanostructures 56A and the second nanostructures 56B are made up of remaining portions of the first semiconductor layers 52A or the second semiconductor layers 52B educated. After forming, the second are nanostructures 56B in the intermediate levels of the structure between two of the first nanostructures 56A arranged. The etching can be any acceptable etching process, such as reactive ion etching (RIE), neutral beam etching (NBE), the like, or a combination thereof, and can be done with masks 58 be executed, which is a structure of the fins 54 and the nanostructures 56 having. The etching can be anisotropic.

Die Finnen 54 und die Nanostrukturen 56 können mit jedem geeigneten Verfahren strukturiert werden. Beispielsweise können Finnen 54 und die Nanostrukturen 56 unter Verwendung eines oder mehrerer Photolithographieprozesse strukturiert werden, einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen. Allgemein kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Photolithographie und selbstausgerichtete Prozesse, was die Erstellung von Strukturen erlaubt, die beispielsweise Abstände aufweisen, die kleiner sind als es sonst unter Verwendung eines einzelnen direkten Photolithographieprozesses möglich wäre. Beispielsweise wird in einer Ausführungsform eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Photolithographieprozesses strukturiert. Abstandhalter werden entlang der strukturierten Opferschicht unter Verwendung eines selbstausgerichteten Prozesses gebildet. Die Opferschicht wird dann entfernt und die verbleibenden Abstandhalter können dann als Masken 58 verwendet werden, die Finnen 54 und die Nanostrukturen 56 zu strukturieren. In einigen Ausführungsformen können die Masken 58 (oder andere Schicht) auf den Nanostrukturen 56 bleiben.Finns 54 and the nanostructures 56 can be structured using any suitable method. For example, Finns 54 and the nanostructures 56 patterned using one or more photolithography processes, including double patterning or multiple patterning processes. In general, double structuring or multiple structuring processes combine photolithography and self-aligned processes, which allows the creation of structures that, for example, have spacings that are smaller than would otherwise be possible using a single direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed along the structured sacrificial layer using a self-aligned process. The sacrificial layer is then removed and the remaining spacers can then be used as masks 58 used the Finns 54 and the nanostructures 56 to structure. In some embodiments, the masks 58 (or other layer) on the nanostructures 56 stay.

Die Finnen 54 und die Nanostrukturen 56 können Breiten in einem Bereich von ca. 8 nm bis ca. 40 nm aufweisen. Die Finnen 54 und die Nanostrukturen 56 in der n-Region 50N und der p-Region 50P sind zu illustrativen Zwecken als im Wesentlichen gleiche Breiten aufweisend illustriert. In einigen Ausführungsformen können die Finnen 54 und die Nanostrukturen 56 in einer Region (z. B. der n-Region 50N) breiter oder schmaler sein als die Finnen 54 und die Nanostrukturen 56 der anderen Region (z. B. der p-Region 50P).Finns 54 and the nanostructures 56 can have widths in a range from approx. 8 nm to approx. 40 nm. Finns 54 and the nanostructures 56 in the n region 50N and the p-region 50P are illustrated as being substantially equal widths for illustrative purposes. In some embodiments, the fins 54 and the nanostructures 56 in a region (e.g. the n-region 50N ) wider or narrower than the fins 54 and the nanostructures 56 the other region (e.g. the p-region 50P ).

STI-Regionen 60 sind dann zu den Finnen 54 benachbart gebildet. Die STI-Regionen 60 können durch Abscheiden eines Isoliermaterials über dem Substrat 50 und den Nanostrukturen 56 und zwischen benachbarten der Finnen 54 gebildet sein. Das Isoliermaterial kann ein Oxid wie Siliziumoxid, ein Nitrid wie Siliziumnitrid, dergleichen oder eine Kombination daraus sein, und kann durch hochdichtes Plasma-CVD (HDP-CVD), fließfähiges CVD (FCVD), dergleichen oder eine Kombination daraus gebildet sein. Andere Isoliermaterialien, die durch einen akzeptablen Prozess gebildet werden, können verwendet werden. In der illustrierten Ausführungsform ist das Isoliermaterial Siliziumoxid, das durch einen FCVD-Prozess gebildet wird. Ein Temperprozess kann durchgeführt werden, wenn das Isoliermaterial gebildet ist. In einer Ausführungsform wird das Isoliermaterial so gebildet, dass überschüssiges Isoliermaterial Masken 58 (wenn vorhanden) oder die Nanostrukturen 56 abdeckt. Auch wenn das Isoliermaterial als eine Einzelschicht illustriert ist, können Ausführungsformen mehrere Schichten verwenden. Beispielsweise kann in einigen Ausführungsformen eine Auskleidung erst entlang von Flächen des Substrats 50, den Finnen 54 und den Nanostrukturen 56 gebildet werden. Danach kann ein Füllmaterial, wie etwa das oben besprochene, über der Auskleidung gebildet werden.STI regions 60 are then to the Finns 54 formed adjacent. The STI regions 60 can by depositing an insulating material over the substrate 50 and the nanostructures 56 and between adjacent ones of the fins 54 be educated. The insulating material can be an oxide such as silicon oxide, a nitride such as silicon nitride, the like, or a combination thereof, and can be formed by high density plasma CVD (HDP-CVD), flowable CVD (FCVD), the like, or a combination thereof. Other insulating materials formed by an acceptable process can be used. In the illustrated embodiment, the insulating material is silicon oxide, which is formed by an FCVD process. An annealing process can be performed when the insulating material is formed. In one embodiment, the insulating material is formed so that excess insulating material masks 58 (if any) or the nanostructures 56 covers. Although the insulating material is illustrated as a single layer, embodiments can use multiple layers. For example, in some embodiments a liner may only be along surfaces of the substrate 50 , the Finn 54 and the nanostructures 56 are formed. A filler material such as that discussed above can then be formed over the liner.

Ein Entfernungsprozess wird dann auf das Isoliermaterial angewendet, um überschüssiges Isoliermaterial über den Masken 58 (wenn vorhanden) oder den Nanostrukturen 56 zu entfernen. In einigen Ausführungsformen kann ein Planarisierungsprozess, wie etwa eine chemisch-mechanische Politur (CMP), ein Rückätzprozess, Kombinationen daraus oder dergleichen verwendet werden. Der Planarisierungsprozess legt die Masken 58 (wenn vorhanden) oder die Nanostrukturen 56 offen, sodass obere Flächen der Masken 58 (wenn vorhanden) bzw. der Nanostrukturen 56 und des Isoliermaterials nach Abschluss des Planarisierungsprozesses (innerhalb der Prozessvariationen) koplanar sind.A removal process is then applied to the insulating material to remove excess insulating material over the masks 58 (if present) or the nanostructures 56 to remove. In some embodiments, a planarization process such as chemical mechanical polishing (CMP), an etch back process, combinations thereof, or the like may be used. The planarization process lays the masks 58 (if any) or the nanostructures 56 open so that upper Areas of the masks 58 (if available) or the nanostructures 56 and the insulating material are coplanar (within the process variations) upon completion of the planarization process.

Das Isoliermaterial wird dann ausgeschnitten, um die STI-Regionen 60 zu bilden. Das Isoliermaterial ist so ausgeschnitten, dass mindestens ein Ausschnitt der Nanostrukturen 56 von zwischen benachbarten STI-Regionen 60 vorspringt. In der illustrierten Ausführungsform sind die oberen Flächen der STI-Regionen 60 (innerhalb der Prozessvariationen) mit den oberen Flächen der Finnen 54 koplanar. In einigen Ausführungsformen sind die oberen Flächen der STI-Regionen 60 über oder unter den oberen Flächen der Finnen 54. Ferner können die oberen Flächen der STI-Regionen 60 eine flache Fläche wie illustriert, eine konvexe Fläche, eine konkave Fläche (wie etwa Dishing) oder eine Kombination daraus aufweisen. Die oberen Flächen der STI-Regionen 60 können durch ein geeignetes Ätzen flach, konvex und/oder konkav gebildet sein. Die STI-Regionen 60 können unter Verwendung eines akzeptablen Ätzprozesses ausgeschnitten werden, wie etwa einem, der selektiv für das Material des Isoliermaterials ist (z. B. das Isoliermaterial der STI-Regionen 60 schneller ätzt als die Materialien der Finnen 54 und der Nanostrukturen 56). Beispielsweise kann eine Oxidentfernung etwa unter Verwendung von verdünnter Flusssäure (dHF) angewendet werden.The insulating material is then cut out to cover the STI regions 60 to build. The insulating material is cut out so that at least a section of the nanostructures 56 from between neighboring STI regions 60 protrudes. In the illustrated embodiment, the top surfaces are the STI regions 60 (within the process variations) with the upper surfaces of the fins 54 coplanar. In some embodiments, the top surfaces are the STI regions 60 above or below the top surfaces of the fins 54 . Furthermore, the upper surfaces of the STI regions 60 a flat surface as illustrated, a convex surface, a concave surface (such as dishing), or a combination thereof. The upper surfaces of the STI regions 60 can be formed flat, convex and / or concave by suitable etching. The STI regions 60 can be cut out using an acceptable etch process, such as one that is selective to the material of the insulating material (e.g., the insulating material of the STI regions 60 etches faster than the materials used in the Finns 54 and the nanostructures 56 ). For example, oxide removal using, for example, dilute hydrofluoric acid (dHF) can be applied.

Die Masken 58 (oder andere Schicht) können vor, während oder nach dem Bilden der STI-Regionen 60 entfernt werden. Beispielsweise können die Masken 58 durch die Ätzprozesse entfernt werden, die verwendet werden, die Finnen 54 und die Nanostrukturen 56 zu strukturieren, oder durch die Ätzprozesse, die verwendet werden, die STI-Regionen 60 auszuschneiden. In einer anderen Ausführungsform werden die Masken 58 durch einen anderen Ätzprozess entfernt, nachdem die STI-Regionen 60 ausgeschnitten sind.The masks 58 (or other layer) can be used before, during or after the formation of the STI regions 60 removed. For example, the masks 58 by the etching processes that are used, the fins are removed 54 and the nanostructures 56 to structure, or by the etching processes that are used, the STI regions 60 cut out. In another embodiment, the masks 58 removed by another etching process after the STI regions 60 are cut out.

Der oben beschriebene Prozess ist nur ein Beispiel davon, wie die Finnen 54 und die Nanostrukturen 56 gebildet werden können. In einigen Ausführungsformen können die Finnen 54 und die Nanostrukturen 56 durch einen epitaktischen Wachstumsprozess gebildet werden. Beispielsweise kann eine Dielektrikumschicht über einer oberen Fläche des Substrats 50 gebildet werden und Gräben können durch die Dielektrikumschicht geätzt werden, um das darunterliegende Substrat 50 offenzulegen. Epitaktische Strukturen können epitaktisch in den Gräben aufgebaut werden und die Dielektrikumschicht kann so ausgeschnitten werden, dass die epitaktischen Strukturen von der Dielektrikumschicht vorspringen, um die Finnen 54 und die Nanostrukturen 56 zu bilden. Die epitaktischen Strukturen können die abwechselnden Halbleitermaterialien umfassen, die oben erklärt wurden, wie etwa das erste Halbleitermaterial und das zweite Halbleitermaterial. In Ausführungsformen, in denen epitaktische Strukturen epitaktisch aufgebaut werden, können die epitaktisch aufgebauten Materialien während des Wachstums vor Ort dotiert sein, was vorherige und/oder nachfolgende Implantierungen verdecken kann, wobei jedoch in-Situ- und Implantierungsdotierung gemeinsam verwendet werden kann.The process described above is just an example of how the Finns do 54 and the nanostructures 56 can be formed. In some embodiments, the fins 54 and the nanostructures 56 be formed by an epitaxial growth process. For example, a dielectric layer can be over a top surface of the substrate 50 can be formed and trenches can be etched through the dielectric layer to the underlying substrate 50 to disclose. Epitaxial structures can be built up epitaxially in the trenches and the dielectric layer can be cut out such that the epitaxial structures protrude from the dielectric layer around the fins 54 and the nanostructures 56 to build. The epitaxial structures may comprise the alternating semiconductor materials explained above, such as the first semiconductor material and the second semiconductor material. In embodiments in which epitaxial structures are built up epitaxially, the epitaxially built materials can be doped during the growth in place, which can mask previous and / or subsequent implants, but wherein in-situ and implant doping can be used together.

Ferner können geeignete Wells in dem Substrat 50, den Finnen 54 und/oder der Nanostruktur 56 gebildet werden. In einigen Ausführungsformen kann ein p-Well in der Region 50N gebildet sein und ein n-Well kann in der Region 50P gebildet sein. In einer anderen Ausführungsform können p-Wells oder n-Wells in der n-Region 50N und der p-Region 50P gebildet sein.Furthermore, suitable wells can be found in the substrate 50 , the Finn 54 and / or the nanostructure 56 are formed. In some embodiments, a p-well can be in the region 50N can be formed and an n-well in the region 50P be educated. In another embodiment, p-wells or n-wells can be in the n-region 50N and the p-region 50P be educated.

In Ausführungsformen mit verschiedenen Welltypen können die verschiedenen Implantierungsschritte für die n-Region 50N und die p-Region 50P unter Verwendung eines Photolacks oder anderer Masken erreicht werden. Beispielsweise kann ein Photolack über den Finnen 54, den Nanostrukturen 56 und den STI-Regionen 60 in der n-Region 50N gebildet werden. Der Photolack ist strukturiert, die p-Region 50P offenzulegen. Der Photolack kann durch Verwenden einer Spin-On-Technik gebildet werden und kann unter Verwendung akzeptabler Photolithographietechniken gebildet werden. Wenn der Photolack strukturiert ist, erfolgt eine n-Unreinheitenimplantierung in der p-Region 50P und der Photolack kann als eine Maske dienen, um im Wesentlichen zu verhindern, dass n-Unreinheiten in die n-Region 50N implantiert werden. Die n-Unreinheiten können Phosphor, Arsen, Antimon oder dergleichen sein, die in die Region mit einer Konzentration im Bereich von etwa 1013 cm-3 bis ca. 1014 cm-3 implantiert werden. Nach der Implantierung wird der Photolack entfernt, wie etwa durch einen akzeptablen Aschenprozess.In embodiments with different wave types, the different implantation steps for the n-region 50N and the p region 50P can be achieved using a photoresist or other mask. For example, a photoresist can be over the fin 54 , the nanostructures 56 and the STI regions 60 in the n region 50N are formed. The photoresist is structured, the p-region 50P to disclose. The photoresist can be formed using a spin-on technique and can be formed using acceptable photolithography techniques. When the photoresist is patterned, an n-impurity implantation takes place in the p-region 50P and the photoresist can serve as a mask to substantially prevent n-type impurities from entering the n-region 50N be implanted. The n-impurities can be phosphorus, arsenic, antimony, or the like, which are implanted into the region at a concentration in the range of about 10 13 cm -3 to about 10 14 cm -3. After implantation, the photoresist is removed, such as by an acceptable ash process.

Nach der Implantierung der p-Region 50P wird ein Photolack über den Finnen 54, den Nanostrukturen 56 und den STI-Regionen 60 in der p-Region 50P gebildet. Der Photolack ist strukturiert, p-Region 50P offenzulegen. Der Photolack kann durch Verwenden einer Spin-On-Technik gebildet werden und kann unter Verwendung akzeptabler Photolithographietechniken gebildet werden. Wenn der Photolack strukturiert ist, kann eine p-Unreinheitenimplantierung in der n-Region 50N ausgeführt werden und der Photolack kann als eine Maske dienen, um im Wesentlichen zu verhindern, dass p-Unreinheiten in die p-Region 50P implantiert werden. Die n-Unreinheiten können Bor, Borfluorid, Indium oder dergleichen sein, die in die Region mit einer Konzentration im Bereich von etwa 1013 cm-3 bis ca. 1014 cm-3 implantiert werden. Nach der Implantierung kann der Photolack entfernt werden, wie etwa durch einen akzeptablen Aschenprozess.After the implantation of the p-region 50P becomes a photoresist over the fins 54 , the nanostructures 56 and the STI regions 60 in the p region 50P educated. The photoresist is structured, p-region 50P to disclose. The photoresist can be formed using a spin-on technique and can be formed using acceptable photolithography techniques. If the photoresist is patterned, a p-type impurity can be implanted in the n-region 50N and the photoresist can act as a mask to substantially prevent p-type impurities from entering the p-region 50P be implanted. The n-impurities can be boron, boron fluoride, indium, or the like, which are implanted into the region at a concentration in the range of about 10 13 cm -3 to about 10 14 cm -3. After the implantation, the Photoresist can be removed, such as by an acceptable ash process.

Nach den Implantierungen der n-Region 50N und der p-Region 50P kann ein Tempern ausgeführt werden, um Implantierungsschaden zu reparieren und die p- und/oder n-Unreinheiten zu aktivieren, die implantiert wurden. In einigen Ausführungsformen können die aufgebauten Materialien oder epitaktischen Finnen vor Ort beim Wachstum dotiert werden, was die Implantierungsdotierung beseitigen kann, wobei jedoch Vor-Ort- und Implantierungsdotierung gleichzeitig verwendet werden können.After the n region implants 50N and the p-region 50P annealing can be performed to repair implant damage and activate the p and / or n impurities that have been implanted. In some embodiments, the built-up materials or epitaxial fins can be doped in place as they are grown, which can remove the implant doping, but in situ and implant doping can be used simultaneously.

In 4 wird eine Dummydielektrikumschicht 62 auf den Finnen 54 und den Nanostrukturen 56 gebildet. Die Dummydielektrikumschicht 62 kann beispielsweise Siliziumoxid, Siliziumnitrid, eine Kombination daraus oder dergleichen sein, und kann nach annehmbaren Techniken abgeschieden oder thermal aufgebaut sein. Eine Dummygateschicht 64 wird über der Dummydielektrikumschicht 62 gebildet und eine Maskenschicht 66 wird über der Dummygateschicht 64 gebildet. Die Dummygateschicht 64 kann über der Dummydielektrikumschicht 62 abgeschieden und dann planarisiert werden, wie etwa durch CMP. Die Maskenschicht 66 kann über der Dummygateschicht 64 abgeschieden werden. Die Dummygateschicht 64 kann aus leitfähigem oder nichtleitfähigem Material bestehen, und kann aus einer Gruppe gewählt sein, die amorphes Silizium, polykristallines Silizium (Polysilizium), polykristallines Siliziumgermanium (Poly-SiGe), metallische Nitrid, metallische Silizide, metallische Oxide und Metalle umfasst. Die Dummygateschicht 64 kann durch physische Gasphasenabscheidung (PVD), CVD, Sputterabscheidung oder andere Techniken abgeschieden werden, um das gewählte Material abzuscheiden. Die Dummygateschicht 64 kann aus einem oder mehreren Materialien bestehen, die eine hohe Ätzselektivität von dem Ätzen von Isoliermaterialien aufweisen, z. B. die Materialien der STI-Regionen 60 und/oder der Dummydielektrikumschicht 62. Die Maskenschicht 66 kann eine oder mehrere Schichten von beispielsweise Siliziumnitrid, Siliziumoxynitrid oder dergleichen umfassen. In diesem Beispiel werden eine einzelne Dummygateschicht 64 und eine einzelne Maskenschicht 66 über der n-Region 50N und der p-Region 50P gebildet. Auch wenn die Dummydielektrikumschicht 62 die STI-Regionen 60 abdeckend dargestellt werden, sollte beachtet werden, dass die Dummydielektrikumschicht 62 in anderer Weise gebildet werden können. In einigen Ausführungsformen, wie etwa, wenn die Dummydielektrikumschicht 62 thermisch aufgebaut wird, ist die Dummydielektrikumschicht 62 gebildet, um nur die Finnen 54 und die Nanostrukturen 56 abzudecken.In 4th becomes a dummy dielectric layer 62 on the Finns 54 and the nanostructures 56 educated. The dummy dielectric layer 62 For example, it can be silicon oxide, silicon nitride, a combination thereof, or the like, and can be deposited or built up thermally using acceptable techniques. A dummy gate layer 64 will be over the dummy dielectric layer 62 and formed a mask layer 66 is over the dummy gate layer 64 educated. The dummy gate layer 64 can over the dummy dielectric layer 62 deposited and then planarized, such as by CMP. The mask layer 66 can over the dummy gate layer 64 to be deposited. The dummy gate layer 64 may be made of conductive or non-conductive material, and may be selected from a group including amorphous silicon, polycrystalline silicon (polysilicon), polycrystalline silicon germanium (poly-SiGe), metallic nitride, metallic silicides, metallic oxides, and metals. The dummy gate layer 64 can be deposited by physical vapor deposition (PVD), CVD, sputter deposition, or other techniques to deposit the selected material. The dummy gate layer 64 may consist of one or more materials that have a high etch selectivity from the etching of insulating materials, e.g. B. the materials of the STI regions 60 and / or the dummy dielectric layer 62 . The mask layer 66 may comprise one or more layers of, for example, silicon nitride, silicon oxynitride, or the like. This example uses a single dummy gate layer 64 and a single mask layer 66 over the n region 50N and the p-region 50P educated. Even if the dummy dielectric layer 62 the STI regions 60 should be shown covering, it should be noted that the dummy dielectric layer 62 can be formed in other ways. In some embodiments, such as when the dummy dielectric layer 62 is thermally built up, is the dummy dielectric layer 62 formed to only the Finns 54 and the nanostructures 56 to cover.

5A bis 14B illustrieren weitere Zwischenstufen in der Herstellung von Nano-FETs. 5B, 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B und 14B können für die n-Region 50N und die p-Region 50P gelten. Unterschiede (wenn vorhanden) der Strukturen der n-Region 50N und der p-Region 50P werden in dem Text beschrieben, der jeder Figur beiliegt. 5A until 14B illustrate further intermediate stages in the manufacture of nano-FETs. 5B , 6B , 7B , 8B , 9B , 10B , 11B , 12B , 13B and 14B can for the n region 50N and the p region 50P are valid. Differences (if any) in the structures of the n-region 50N and the p-region 50P are described in the text accompanying each figure.

In den 5A und 5B ist die Maskenschicht 66 unter Verwendung akzeptabler Photolithographie- und Ätztechniken strukturiert, um Masken 76 zu bilden. Die Struktur der Masken 76 wird dann mit einer akzeptablen Ätztechnik an die Dummygateschicht 64 übertragen, um Dummygates 74 zu bilden. Die Struktur der Masken 76 kann optional ferner mit einer akzeptablen Ätztechnik an die an die Dummydielektrikumschicht 62 übertragen werden, um Dummydielektrika 72 zu bilden. Die Dummygates 74 decken Abschnitte der Nanostrukturen 56 ab, die in der nachfolgenden Verarbeitung offengelegt werden, um Kanalregionen zu bilden. Speziell erstrecken sich die Dummygates 74 entlang der Abschnitte der Nanostrukturen 56, die verwendet werden, Kanalregionen 68 zu bilden. Die Struktur der Masken 76 kann verwendet werden, um jedes der benachbarten Dummygates 74 physisch zu trennen. Die Dummygates 74 können auch Längsrichtung aufweisen, die im Wesentlich rechtwinklig (innerhalb der Prozessgrenzen) zu den Längsrichtungen der Finnen 54 sind. Die Masken 76 können optional nach dem Strukturieren entfernt werden, wie etwa durch eine akzeptable Ätztechnik.In the 5A and 5B is the mask layer 66 patterned using acceptable photolithography and etching techniques to form masks 76 to build. The structure of the masks 76 is then attached to the dummy gate layer using an acceptable etching technique 64 transferred to dummy gates 74 to build. The structure of the masks 76 can optionally also be applied to the dummy dielectric layer with an acceptable etching technique 62 transferred to dummy dielectrics 72 to build. The dummy gates 74 cover sections of the nanostructures 56 which are exposed in subsequent processing to form channel regions. Specifically, the dummy gates extend 74 along the sections of the nanostructures 56 that are used, channel regions 68 to build. The structure of the masks 76 can be used to set any of the neighboring dummy gates 74 physically separate. The dummy gates 74 can also have a longitudinal direction that is essentially at right angles (within the process limits) to the longitudinal directions of the fins 54 are. The masks 76 can optionally be removed after patterning, such as by an acceptable etching technique.

In 6A und 6B sind Gateabstandhalter 80 über den Nanostrukturen 56 und den Finnen 54 an offenliegenden Seitenwänden der Masken 76, der Dummygates 74 und der Dummydielektrika 72 gebildet. Die Gateabstandhalter 80 können durch konformes Bilden eines Isoliermaterials und nachfolgendes Ätzen des Isoliermaterials gebildet werden. Das Isoliermaterial der Gateabstandhalter 80 kann Siliziumnitrid, Siliziumkarbonitrid, Siliziumoxycarbonitrid, Kombinationen daraus oder dergleichen sein und kann durch thermische Oxidierung, Abscheidung, eine Kombination daraus der dergleichen gebildet sein. Die Gateabstandhalter 80 können aus einem einschichtigen Isoliermaterial oder mehreren Schichten von Isoliermaterialien gebildet sein. In einigen Ausführungsformen umfassen die Gateabstandhalter 80 je mehrere Schichten von Siliziumoxycarbonitrid, wobei jede Schicht eine andere Zusammensetzung von Siliziumoxycarbonitrid aufweisen kann. In einigen Ausführungsformen umfassen die Gateabstandhalter 80 je eine Schicht Siliziumoxid, die zwischen zwei Schichten Siliziumnitrid angeordnet ist. Andere Abstandhalterstrukturen können gebildet sein. Das Ätzen des Isoliermaterials kann anisotrop sein. Beispielsweise kann der Ätzprozess ein Trockenätzen sein, wie etwa RIE, NBE oder dergleichen. Nach dem Ätzen kann der Gateabstandhalter 80 gerade Seitenwände oder gebogene Seitenwände aufweisen.In 6A and 6B are gate spacers 80 over the nanostructures 56 and the Finns 54 on exposed side walls of the masks 76 , the dummy gates 74 and the dummy dielectrics 72 educated. The gate spacers 80 can be formed by conformally forming an insulating material and then etching the insulating material. The insulating material of the gate spacers 80 may be silicon nitride, silicon carbonitride, silicon oxycarbonitride, combinations thereof, or the like, and may be formed by thermal oxidation, deposition, a combination thereof, or the like. The gate spacers 80 may be formed from a single layer insulating material or multiple layers of insulating materials. In some embodiments, the gate spacers include 80 several layers of silicon oxycarbonitride each, wherein each layer can have a different composition of silicon oxycarbonitride. In some embodiments, the gate spacers include 80 one layer of silicon oxide each, which is arranged between two layers of silicon nitride. Other spacer structures can be formed. The etching of the insulating material can be anisotropic. For example, the etching process can be a dry etch such as RIE, NBE, or the like. After the etch, the gate spacer can 80 have straight side walls or curved side walls.

Vor dem Bilden des Gateabstandhalters 80 können Implantationen für leicht dotierte Source-/Drain-Regionen (LDD-Regionen) ausgeführt werden. In den Ausführungsformen mit verschiedenen Vorrichtungstypen kann ähnlich wie bei den oben erklärten Implantierungen eine Maske, wie etwa ein Photolack, über einer n-Region 50N gebildet sein, während die p-Region 50P offengelegt wird, und ein geeignete Unreinheiten (z. B. Typ p) können in die Nanostrukturen 56 und die Finnen 54 implantiert sein, die in der p-Region 50P offengelegt sind. Die Maske kann dann entfernt werden. Nachfolgend kann eine Maske, wie etwa ein Photolack, über der p-Region 50P gebildet sei, während die n-Region 50N offengelegt wird, und Unreinheiten eines geeigneten Typs (z. B., Typ n) können in die Nanostrukturen 56 und die Finnen 54, die in der n-Region 50N offengelegt sind, implantiert werden. Die Maske kann dann entfernt werden. Die n-Unreinheiten können jede der zuvor besprochenen n-Unreinheiten sein und die p-Unreinheiten können jede der zuvor besprochenen p-Unreinheiten sein. Die leicht dotierten Source-/Drain-Regionen können eine Konzentration von Unreinheiten im Bereich von ca. 1015 cm-3 bis ca. 1019 cm-3 aufweisen. Tempern kann verwendet werden, um die Implantierungsschaden zu reparieren und die implantierten Unreinheiten zu aktivieren. Während der Implantierung bleiben die Kanalregionen 68 durch die Dummygates 74 abgedeckt, sodass die Kanalregionen 68 im Wesentlichen frei von der Unreinheit bleiben, die in den LDD-Regionen implantiert ist.Before forming the gate spacer 80 implants can be carried out for lightly doped source / drain regions (LDD regions). In the embodiments with different device types, similar to the implants explained above, a mask, such as a photoresist, may be over an n-region 50N be formed while the p-region 50P is disclosed, and a suitable impurity (e.g. type p) can be present in the nanostructures 56 and the Finns 54 implanted in the p-region 50P are disclosed. The mask can then be removed. A mask, such as a photoresist, can then be applied over the p-region 50P while the n-region 50N is disclosed, and impurities of a suitable type (e.g., type n) can be incorporated into the nanostructures 56 and the Finns 54 that are in the n region 50N are disclosed, are implanted. The mask can then be removed. The n-impurities can be any of the n-impurities discussed above, and the p-impurities can be any of the p-impurities discussed above. The lightly doped source / drain regions can have a concentration of impurities in the range from approx. 10 15 cm -3 to approx. 10 19 cm -3 . Annealing can be used to repair the implant damage and activate the implanted impurities. The canal regions remain during the implantation 68 through the dummy gates 74 covered so that the canal regions 68 remain essentially free of the impurity implanted in the LDD regions.

Es wird angemerkt, dass die obige Offenbarung allgemein einen Prozess des Bildens von Abstandhaltern und LDD-Regionen beschreibt. Andere Prozesse und Sequenzen können verwendet werden. Beispielsweise können weniger oder weitere Abstandhalter verwendet werden, verschiedenen Schrittsequenzen können eingesetzt werden, (z. B. können weitere Abstandhalter gebildet und entfernt werden usw.) und/oder dergleichen. Weiterhin können die n- und p-Vorrichtungen unter Verwendung verschiedener Strukturen und Schritte gebildet werden.It is noted that the above disclosure generally describes a process of forming spacers and LDD regions. Other processes and sequences can be used. For example, fewer or more spacers can be used, different step sequences can be used (e.g. further spacers can be formed and removed, etc.) and / or the like. Furthermore, the n and p devices can be formed using various structures and steps.

Nachdem die Gateabstandhalter 80 gebildet sind, werden dann Source-/Drain-Ausschnitte 82 in den Nanostrukturen 56 gebildet. In der illustrierten Ausführungsform erstrecken sich die Source-/Drain-Ausschnitte 82 durch die Nanostrukturen 56, um die Finnen offenzulegen 54. Die Source-/Drain-Ausschnitte 82 können sich auch in das Substrat 50 und/oder die Finnen 54 erstrecken. In anderen Worten, die Source-/Drain-Ausschnitte 82 können nur in den Nanostrukturen 56 gebildet sein, wie durch 6A gezeigt, oder können auch sich in die Finnen 54 erstreckend gebildet sein, wie in 6B gezeigt. In verschiedenen Ausführungsformen können sich die Source-/Drain-Ausschnitte 82 von einer oberen Fläche des Substrats 50 erstrecken, ohne das Substrat 50 zu ätzen; das Substrat 50 kann so geätzt werden, dass untere Flächen der Source-/Drain-Ausschnitte 82 unter den oberen Flächen der STI-Regionen 60 angeordnet sind; oder dergleichen. Die Source-/Drain-Ausschnitte 82 können durch Ätzen der Nanostrukturen 56 unter Verwendung von anisotropen Ätzprozessen wie etwa einem RIE, einem NBE oder dergleichen gebildet werden. Die Gateabstandhalter 80 und die Masken 76 maskieren kollektiv Abschnitte der Nanostrukturen 56, der Finnen 54, und des Substrats 50 während der verwendeten Ätzprozesse zum Bilden der Source-/Drain-Ausschnitte 82. Ein einzelner Ätzprozess kann verwendet werden, um jede der Nanostrukturen 56 zu ätzen. In anderen Ausführungsformen können mehrere Ätzprozesse verwendet werden, die Nanostrukturen 56 zu ätzen. Zeitgebundene Ätzprozesse können verwendet werden, um das Ätzen der Source-/Drain-Ausschnitte 82 zu stoppen, nachdem die Source-/Drain-Ausschnitte 82 eine gewünschte Tiefe erreichen D1. Die Tiefe D1 kann im Bereich von ca. 40 nm bis ca. 140 nm liegen.After the gate spacers 80 are then formed source / drain cutouts 82 in the nanostructures 56 educated. In the illustrated embodiment, the source / drain cutouts extend 82 through the nanostructures 56 to expose the Finns 54 . The source / drain cutouts 82 can also get into the substrate 50 and / or the Finns 54 extend. In other words, the source / drain cutouts 82 can only in the nanostructures 56 be formed as by 6A shown, or can also be in the Finns 54 be formed extensively, as in 6B shown. In various embodiments, the source / drain cutouts can be 82 from an upper surface of the substrate 50 extend without the substrate 50 to etch; the substrate 50 can be etched so that lower surfaces of the source / drain cutouts 82 under the upper surfaces of the STI regions 60 are arranged; or similar. The source / drain cutouts 82 can by etching the nanostructures 56 using anisotropic etching processes such as an RIE, an NBE, or the like. The gate spacers 80 and the masks 76 collectively mask portions of the nanostructures 56 , the Finn 54 , and the substrate 50 during the etching processes used to form the source / drain cutouts 82 . A single etch process can be used to create each of the nanostructures 56 to etch. In other embodiments, multiple etching processes can be used, the nanostructures 56 to etch. Timed etching processes can be used to etch the source / drain cutouts 82 stop after the source / drain cutouts 82 reach a desired depth D1. The depth D 1 can be in the range from approx. 40 nm to approx. 140 nm.

Innere Abstandhalter 84 sind optional an den Seitenwänden der verbleibenden Abschnitte der ersten Nanostrukturen 56A gebildet, z. B. der Seitenwände, die durch die Source-/Drain-Ausschnitte 82 offengelegt sind. Wie nachfolgend genauer erklärt wird, werden Source-/Drain-Regionen nachfolgend in den Source-/Drain-Ausschnitten 82 gebildet, und die ersten Nanostrukturen 56A werden nachfolgend durch entsprechende Gatestrukturen ersetzt. Die inneren Abstandhalter 84 wirken als Isolierelemente zwischen den nachfolgend gebildeten Source-/Drain-Regionen und den nachfolgend gebildeten Gatestrukturen. Ferner können die inneren Abstandhalter 84 verwendet werden, Schaden an den nachfolgend gebildeten Source-/Drain-Regionen durch nachfolgende Ätzprozesse zu verhindern, wie etwa Ätzprozesse, die verwendet werden, um nachfolgend die Gatestrukturen zu bilden.Inner spacers 84 are optional on the sidewalls of the remaining sections of the first nanostructures 56A formed, e.g. B. the sidewalls through the source / drain cutouts 82 are disclosed. As will be explained in more detail below, source / drain regions are subsequently in the source / drain cutouts 82 formed, and the first nanostructures 56A are subsequently replaced by corresponding gate structures. The inner spacers 84 act as insulating elements between the subsequently formed source / drain regions and the subsequently formed gate structures. Furthermore, the inner spacers 84 can be used to prevent damage to the subsequently formed source / drain regions by subsequent etching processes, such as etching processes that are used to subsequently form the gate structures.

Als ein Beispiel für das Bilden der inneren Abstandhalter 84 können die Source-/Drain-Ausschnitte 82 erweitert werden. Speziell können Abschnitte der Seitenwände der ersten Nanostrukturen 56A, die durch die Source-/Drain-Ausschnitte 82 offengelegt werden, ausgeschnitten werden. Auch wenn Seitenwände der ersten Nanostrukturen 56A als gerade illustriert sind, können die Seitenwände konkav oder konvex sein. Die Seitenwände können durch einen akzeptablen Ätzprozess ausgeschnitten werden, wie etwa einem der selektiv für das Material der ersten Nanostrukturen 56A ist (z. B. selektiv das Material der ersten Nanostrukturen 56A schneller ätzt als das Material oder die Materialien der zweiten Nanostrukturen 56B und der Finnen 54). Das Ätzen kann anisotrop sein. Beispielsweise kann, wenn die Finnen 54 und die zweiten Nanostrukturen 56B aus Silizium gebildet sind und die ersten Nanostrukturen 56A aus Siliziumgermanium, kann der Ätzprozess ein Nassätzen unter Verwendung von Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen sein. In einer anderen Ausführungsform kann der Ätzprozess ein Trockenätzen unter Verwendung eines fluorbasierten Gases wie Wasserstofffluoridgas (HF-Gas) sein. In einigen Ausführungsformen kann derselbe Ätzprozess kontinuierlich durchgeführt werden, um sowohl die Source-/Drain-Ausschnitte 82 auszubilden als auch die Seitenwände der ersten Nanostrukturen 56A auszuschneiden. Die inneren Abstandhalter 84 können dann durch konformes Bilden eines Isoliermaterials und nachfolgendes Ätzen des Isoliermaterials gebildet werden. Das Isoliermaterial kann ein Material wie Siliziumnitrid oder Siliziumoxynitrid sein, wobei jedoch jedes geeignete Material, wie etwa Materialien mit einer geringeren dielektrischen Konstante (niedrigem k-Wert) mit einem k-Wert unter ca. 3,5 verwendet werden können. Das Isoliermaterial kann durch einen konformen Abscheidungsprozess abgeschieden sein, wie etwa ALD, CVD oder dergleichen. Das Ätzen des Isoliermaterials kann anisotrop sein. Beispielsweise kann der Ätzprozess ein Trockenätzen sein, wie etwa RIE, NBE oder dergleichen. Auch wenn die ursprünglichen äußeren Seitenwände des inneren Abstandhalters 84 als bündig mit den Seitenwänden des Gateabstandhalters 80 illustriert sind, können die äußeren Seitenwände der inneren Abstandhalter 84 sich über die Seitenwände der Gateabstandhalter 80 erstrecken oder davon ausgeschnitten sein. In anderen Worten, die inneren Abstandhalter 84 können die Seitenwandausschnitte teilweise füllen, vollständig füllen oder überfüllen. Weiterhin sind zwar die Seitenwände der inneren Abstandhalter 84 als gerade illustriert sind, die Seitenwände der inneren Abstandhalter 84 können jedoch auch konkav oder konvex sein.As an example of forming the inner spacers 84 can the source / drain cutouts 82 be expanded. In particular, sections of the side walls of the first nanostructures 56A going through the source / drain cutouts 82 exposed, cut out. Even if side walls of the first nanostructures 56A as just illustrated, the side walls can be concave or convex. The sidewalls can be cut out by an acceptable etching process, such as one that is selective to the material of the first nanostructures 56A is (e.g. selectively the material of the first nanostructures 56A etches faster than the material or materials of the second nanostructures 56B and the Finns 54 ). The etching can be anisotropic. For example, if the Finns 54 and the second nanostructures 56B are formed from silicon and the first nanostructures 56A made of silicon germanium, the etching process can be a wet etching using tetramethylammonium hydroxide (TMAH), ammonium hydroxide (NH 4 OH), or the like. In another embodiment, the etching process can be a dry etching using a fluorine-based gas such as hydrogen fluoride (HF) gas. In some embodiments, the same etch process can be performed continuously to both the source / drain cutouts 82 train as well as the side walls of the first nanostructures 56A cut out. The inner spacers 84 can then be formed by conformally forming an insulating material and then etching the insulating material. The insulating material can be a material such as silicon nitride or silicon oxynitride, but any suitable material such as materials having a lower dielectric constant (low k) with a k less than about 3.5 can be used. The insulating material can be deposited by a conformal deposition process such as ALD, CVD, or the like. The etching of the insulating material can be anisotropic. For example, the etching process can be a dry etch such as RIE, NBE, or the like. Even if the original outer side walls of the inner spacer 84 than flush with the side walls of the gate spacer 80 illustrated, the outer sidewalls of the inner spacers 84 over the side walls of the gate spacers 80 extend or be cut out from it. In other words, the inner spacers 84 can partially fill, fill completely, or overfill the sidewall cutouts. Furthermore, the side walls are the inner spacers 84 as just illustrated are the side walls of the inner spacers 84 however, they can also be concave or convex.

In 7A und 7B sind epitaktische Source-/Drain-Regionen 92 in den Source-/Drain-Ausschnitten 82 gebildet. Die epitaktischen Source-/Drain-Regionen 92 werden in den Source-/Drain-Ausschnitten 82 gebildet, sodass jedes der Dummygates 74 zwischen jeweiligen benachbarten Paaren der epitaktischen Source-/Drain-Regionen 92 angeordnet ist. In einigen Ausführungsformen werden die Gateabstandhalter 80 verwendet, um die epitaktischen Source-/Drain-Regionen 92 von den Dummygates 74 und der ersten Nanostrukturen 56A um einen geeigneten lateralen Abstand zu trennen, sodass die epitaktischen Source-/Drain-Regionen 92 keinen Kurzschluss mit nachträglich gebildeten Gates der Nano-FETs gebildet werden. Die epitaktischen Source-/Drain-Regionen 92 können in Kontakt mit den inneren Abstandhaltern 84 (wenn vorhanden) gebildet sein und können sich an Seitenwänden der zweiten Nanostrukturen 56B vorbei erstrecken. Die epitaktischen Source-/Drain-Regionen 92 können die zweiten Nanostrukturen 56B belasten und damit die Leistung verbessern.In 7A and 7B are epitaxial source / drain regions 92 in the source / drain cutouts 82 educated. The epitaxial source / drain regions 92 are in the source / drain cutouts 82 formed so that each of the dummy gates 74 between respective adjacent pairs of the source / drain epitaxial regions 92 is arranged. In some embodiments, the gate spacers 80 used to make the epitaxial source / drain regions 92 from the dummy gates 74 and the first nanostructures 56A to separate a suitable lateral distance so that the epitaxial source / drain regions 92 no short circuit can be formed with subsequently formed gates of the nano-FETs. The epitaxial source / drain regions 92 can come into contact with the inner spacers 84 (if present) be formed and can be located on side walls of the second nanostructures 56B extend past. The epitaxial source / drain regions 92 can use the second nanostructures 56B load and thus improve performance.

Die epitaktischen Source-/Drain-Regionen 92 in der n-Region 50N können durch Maskieren der p-Region 50P gebildet werden. Dann werden die epitaktischen Source-/Drain-Regionen 92 epitaktisch in den Source-/Drain-Ausschnitten 82 in der n-Region 50N aufgebaut. Die epitaktischen Source-/Drain-Regionen 92 können jedes akzeptable Material umfassen, das sich für n-Nano-FETs eignet. Beispielsweise können die epitaktischen Source-/Drain-Regionen 92 in der n-Region 50N Materialien umfassen, die eine Zugkraft in der Kanalregionen 68 aufbringen, wie etwa Silizium, Siliziumkarbid, phosphordotiertes Siliziumkarbid, Siliziumphosphid oder dergleichen. Die epitaktischen Source-/Drain-Regionen 92 in der n-Region 50N können Oberflächen aufweisen, die von jeweiligen Flächen der zweiten Nanostrukturen 56B und der Finnen 54 erhöht sind und Facetten aufweisen können.The epitaxial source / drain regions 92 in the n region 50N can by masking the p-region 50P are formed. Then the epitaxial source / drain regions 92 epitaxially in the source / drain cutouts 82 in the n region 50N built up. The epitaxial source / drain regions 92 can include any acceptable material suitable for n-type nano-FETs. For example, the epitaxial source / drain regions 92 in the n region 50N Include materials that have a tensile force in the canal regions 68 apply, such as silicon, silicon carbide, phosphorus-doped silicon carbide, silicon phosphide or the like. The epitaxial source / drain regions 92 in the n region 50N may have surfaces that are from respective faces of the second nanostructures 56B and the Finns 54 are elevated and can have facets.

Die epitaktischen Source-/Drain-Regionen 92 in der p-Region 50P können durch Maskieren der n-Region 50N gebildet werden. Dann werden die epitaktischen Source-/Drain-Regionen 92 epitaktisch in den Source-/Drain-Ausschnitten 82 in der p-Region 50P aufgebaut. Die epitaktischen Source-/Drain-Regionen 92 können jedes akzeptable Material umfassen, das sich für p-Nano-FETs eignet. Beispielsweise können die epitaktischen Source-/Drain-Regionen 92 in der p-Region 50P Materialien umfassen, die eine Druckbelastung der Kanalregionen 68 ausüben, wie etwa Siliziumgermanium, bordotiertes Siliziumgermanium, Germanium, Germaniumzinn oder dergleichen. Die epitaktischen Source-/Drain-Regionen 92 in der p-Region 50P können Flächen aufweisen, die von jeweiligen Flächen der zweiten Nanostrukturen 56B und der Finnen 54 angehoben sind, und können Facetten aufweisen.The epitaxial source / drain regions 92 in the p region 50P can by masking the n region 50N are formed. Then the epitaxial source / drain regions 92 epitaxially in the source / drain cutouts 82 in the p region 50P built up. The epitaxial source / drain regions 92 can include any acceptable material suitable for p-type nano-FETs. For example, the epitaxial source / drain regions 92 in the p region 50P Include materials that are compressive to the canal regions 68 exercise, such as silicon germanium, boron-doped silicon germanium, germanium, germanium tin or the like. The epitaxial source / drain regions 92 in the p region 50P may have areas that are different from respective areas of the second nanostructures 56B and the Finns 54 are raised and may have facets.

Die epitaktischen Source-/Drain-Regionen 92, die zweiten Nanostrukturen 56B und/oder die Finnen 54 können mit Dotiermitteln implantiert sein, um Source-/Drain-Regionen zu bilden, ähnlich wie der Prozess, der zuvor für das Bilden von leicht dotierten Source-/Drain-Regionen verwendet wurde, gefolgt von einem Tempern. Die Source-/Drain-Regionen können eine Unreinheitenkonzentration im Bereich von ca. 1019 cm-3 bis ca. 1021 cm-3 aufweisen. Die n- und/oder p-Unreinheiten für Source-/Drain-Regionen können jede der zuvor besprochenen Unreinheiten sein. In einigen Ausführungsformen können die epitaktischen Source-/Drain-Regionen 92 während des Wachstums vor Ort dotiert sein.The epitaxial source / drain regions 92 , the second nanostructures 56B and / or the Finns 54 may be implanted with dopants to form source / drain regions, similar to the process previously used for forming lightly doped source / drain regions, followed by annealing. The source / drain regions can have an impurity concentration in the range from approximately 10 19 cm -3 to approximately 10 21 cm -3 . The n and / or p impurities for source / drain regions can be any of the previously discussed impurities. In some embodiments, the epitaxial source / drain regions can 92 be endowed locally during growth.

Als Ergebnis des epitaktischen Prozesses, der verwendet wird, die epitaktischen Source-/Drain-Regionen 92 zu bilden, weisen obere Flächen der epitaktischen Source-/Drain-Regionen 92 Facetten auf, die sich seitlich auswärts über die Flächen der zweiten Nanostrukturen 56B und die Finnen 54 hinaus erstrecken. So weisen die epitaktischen Source-/Drain-Regionen 92 eine Höhe H1 auf, die größer als die Tiefe D1 der Source-/Drain-Ausschnitte 82 ist. Beispielsweise kann die Höhe H1 im Bereich von ca. 30 nm bis ca. 120 nm liegen. In einigen Ausführungsformen bleiben benachbarte epitaktische Source-/Drain-Regionen 92 in der p-Region 50P getrennt bleiben, nachdem der epitaktische Prozess abgeschlossen ist, wie in 7A illustriert ist. In anderen Ausführungsformen veranlassen diese Facetten benachbarte epitaktische Source-/Drain-Regionen 92 eines selben Nano-FET zu verschmelzen. In den Ausführungsformen, die in 7A und 7B illustriert sind, wird das Abstandhalterätzen, das verwendet wird, die Gateabstandhalter 80 zu bilden, angepasst, das Abstandhaltermaterial zu entfernen, damit sich die epitaktischen Source-/Drain-Regionen 92 auf die oberen Flächen der STI-Regionen 60 erstrecken können. In einer anderen Ausführungsform sind die Gateabstandhalter 80 gebildet, Abschnitte der Seitenwände der Nanostrukturen 56 abzudecken, die sich über die STI-Regionen 60 erstrecken und damit epitaktisches Wachstum an den oberen Flächen der STI-Regionen 60 blockieren.As a result of the epitaxial process that is used, the source / drain epitaxial regions 92 have upper surfaces of the epitaxial source / drain regions 92 Facets on that extend laterally outward over the faces of the second nanostructures 56B and the Finns 54 extend beyond. This is how the epitaxial source / drain regions point 92 a height H 1 which is greater than the depth D 1 of the source / drain cutouts 82 is. For example, the height H 1 can be in the range from approx. 30 nm to approx. 120 nm. In some embodiments, adjacent source / drain epitaxial regions remain 92 in the p region 50P remain separate after the epitaxial process is complete, as in 7A is illustrated. In other embodiments, these facets cause adjacent source / drain epitaxial regions 92 of the same nano-FET to fuse. In the embodiments described in 7A and 7B As illustrated, the spacer etch that is used becomes the gate spacers 80 to form, adapted to remove the spacer material so that the epitaxial source / drain regions 92 on the upper surfaces of the STI regions 60 can extend. In another embodiment, the gate spacers are 80 formed, sections of the sidewalls of the nanostructures 56 cover that is across the STI regions 60 extend and thus epitaxial growth on the upper surfaces of the STI regions 60 To block.

Die epitaktischen Source-/Drain-Regionen 92 können eine oder mehrere Halbleitermaterialschichten umfassen. Beispielsweise können die epitaktischen Source-/Drain-Regionen 92 erste Halbleitermaterialschichten, zweite Halbleitermaterialschichten und dritte Halbleitermaterialschichten umfassen. Eine beliebige Anzahl von Halbleitermaterialschichten kann für die epitaktischen Source-/Drain-Regionen 92 verwendet werden. Jede der ersten Halbleitermaterialschichten, der zweiten Halbleitermaterialschichten und der dritten Halbleitermaterialschichten können aus unterschiedlichen Halbleitermaterialien gebildet sein und/oder können auf verschiedene Dotiermittelkonzentrationen dotiert sein. In einigen Ausführungsformen können die ersten Halbleitermaterialschichten eine Dotiermittelkonzentration aufweisen, die geringer ist als die der zweiten Halbleitermaterialschichten und größer als die der dritten Halbleitermaterialschichten. In Ausführungsformen, in denen die epitaktischen Source-/Drain-Regionen 92 drei Halbleitermaterialschichten umfassen, können die ersten Halbleitermaterialschichten aus den Finnen 54 aufgebaut sein, die zweiten Halbleitermaterialschichten können aus den ersten Halbleitermaterialschichten aufgebaut sein und die dritten Halbleitermaterialschichten können aus den zweiten Halbleitermaterialschichten aufgebaut sein.The epitaxial source / drain regions 92 may comprise one or more layers of semiconductor material. For example, the epitaxial source / drain regions 92 comprise first semiconductor material layers, second semiconductor material layers, and third semiconductor material layers. Any number of semiconductor material layers can be used for the epitaxial source / drain regions 92 be used. Each of the first semiconductor material layers, the second semiconductor material layers and the third semiconductor material layers can be formed from different semiconductor materials and / or can be doped to different dopant concentrations. In some embodiments, the first semiconductor material layers may have a dopant concentration that is less than that of the second semiconductor material layers and greater than that of the third semiconductor material layers. In embodiments in which the epitaxial source / drain regions 92 comprise three semiconductor material layers, the first semiconductor material layers from the fins 54 be constructed, the second semiconductor material layers can be constructed from the first semiconductor material layers and the third semiconductor material layers can be constructed from the second semiconductor material layers.

In 8A und 8B ist eine erste ILD 96 über den epitaktischen Source-/Drain-Regionen 92 und den STI-Regionen 60 gebildet. Die erste ILD 96 kann aus einem Dielektrikum gebildet sein. Dielektrika können Oxide wie Siliziumoxid, Phosphosilikatglas (PSG), Borosilikatglas (BSG), bordotiertes Phosphosilikatglas (BPSG), undotiertes Silikatglas (USG) oder dergleichen; Nitride wie Siliziumnitrid oder dergleichen umfassen. Andere Isoliermaterialien können verwendet werden.In 8A and 8B is a first ILD 96 over the epitaxial source / drain regions 92 and the STI regions 60 educated. The first ILD 96 can be formed from a dielectric. Dielectrics can be oxides such as silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), undoped silicate glass (USG) or the like; Nitrides such as silicon nitride or the like. Other insulating materials can be used.

Die erste ILD 96 kann durch Abscheiden eines Dielektrikums über den epitaktischen Source-/Drain-Regionen 92, den Gateabstandhaltern 80, den Masken 76 (wenn vorhanden) oder den Dummygates 74 und den STI-Regionen 60, und nachfolgendes Planarisieren des Dielektrikums gebildet sein. Die Abscheidung kann durch jedes geeignete Verfahren erfolgen, wie etwa CVD, plasmaverstärktem CVD (PECVD) oder FCVD. Andere annehmbare Prozesse können zum Bilden des Dielektrikums verwendet werden. Die Planarisierung kann durch jedes geeignete Verfahren erfolgen, wie etwa einem CMP, einem Rückätzprozess, Kombinationen daraus oder dergleichen. Der Planarisierungsprozess ebnet die obere Fläche der ersten ILD 96 mit den oberen Flächen der Masken 76 oder den Dummygates 74 ein. Der Planarisierungsprozess kann auch die Masken 76 an den Dummygates 74 und Abschnitte des Gateabstandhalters 80 entlang von Seitenwänden der Masken 76 entfernen. Nach dem Planarisierungsprozess sind die oberen Flächen der ersten ILD 96, der Gateabstandhalter 80 und der Masken 76 (wenn vorhanden) oder der Dummygates 74 koplanar (innerhalb der Prozessvariationen). Dementsprechend werden die oberen Flächen der Masken 76 (wenn vorhanden) oder der Dummygates 74 durch das erste ILD 96 offengelegt. In der illustrierten Ausführungsform bleiben die Masken 76 zurück und der Planarisierungsprozess die obere Fläche der ersten ILD 96 an die oberen Flächen der Masken 76 angleicht.The first ILD 96 can by depositing a dielectric over the epitaxial source / drain regions 92 , the gate spacers 80 , the masks 76 (if available) or the dummy gates 74 and the STI regions 60 , and then planarizing the dielectric. The deposition can be done by any suitable method, such as CVD, plasma enhanced CVD (PECVD), or FCVD. Other acceptable processes can be used to form the dielectric. The planarization can be done by any suitable method, such as a CMP, an etch-back process, combinations thereof, or the like. The planarization process levels the top surface of the first ILD 96 with the upper surfaces of the masks 76 or the dummy gates 74 a. The planarization process can also use the masks 76 at the dummy gates 74 and portions of the gate spacer 80 along side walls of the masks 76 remove. After the planarization process, the top surfaces are the first ILD 96 , the gate spacer 80 and the masks 76 (if available) or the dummy gates 74 coplanar (within the process variations). Accordingly, the top surfaces of the masks 76 (if available) or the dummy gates 74 through the first ILD 96 disclosed. In the illustrated embodiment, the masks remain 76 back and the planarization process the top surface of the first ILD 96 to the upper surfaces of the masks 76 aligns.

In einigen Ausführungsformen ist eine Kontaktätzstoppschicht (CESL) 94 zwischen der ersten ILD 96 und den epitaktischen Source-/Drain-Regionen 92, dem Gateabstandhalter 80 und den STI-Regionen 60 angeordnet. Die CESL 94 kann ein Dielektrikum wie Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen umfassen, die eine hohe Ätzselektivität durch das Ätzen der ersten ILD 96 aufweist.In some embodiments, a contact etch stop layer (CESL) 94 between the first ILD 96 and the epitaxial source / drain regions 92 , the gate spacer 80 and the STI regions 60 arranged. The CESL 94 may comprise a dielectric such as silicon nitride, silicon oxide, silicon oxynitride or the like, which has a high etch selectivity by etching the first ILD 96 having.

In 9A und 9B werden die Masken 76 (wenn vorhanden) und die Dummygates 74 in einem Ätzprozess entfernt, sodass Ausschnitte 98 gebildet sind. Abschnitte der Dummydielektrika 72 in den Ausschnitten 98 können ebenfalls entfernt werden. In einigen Ausführungsformen werden die Dummygates 74 durch einen anisotropen Trockenätzprozess entfernt. Beispielsweise kann der Ätzprozess einen Trockenätzprozess umfassen, der ein oder mehrere Reaktionsgase verwendet, die selektiv die Dummygates 74 mit einer schnelleren Rate ätzen als das erste ILD 96 oder die Gateabstandhalter 80. Während der Entfernung kann die Dummydielektrika 72 als Ätzstoppschichten verwendet werden, wenn die Dummygates 74 geätzt werden. Die Dummydielektrika 72 können dann nach dem Entfernen des Dummygates 74 entfernt werden. Jeder Ausschnitt 98 legt Abschnitte der Kanalregionen 68 in den zweiten Nanostrukturen 56B offen und/oder überlagert diese. Abschnitte des zweiten Nanostrukturen 56B, die als die Kanalregionen 68 dienen, sind zwischen benachbarten Paaren der epitaktischen Source-/Drain-Regionen 92 angeordnet.In 9A and 9B become the masks 76 (if available) and the dummy gates 74 removed in an etching process so that cutouts 98 are formed. Sections of the dummy dielectrics 72 in the clippings 98 can also be removed. In some embodiments, the dummy gates 74 removed by an anisotropic dry etching process. For example, the etching process can include a dry etching process that uses one or more reactive gases that selectively define the dummy gates 74 etch at a faster rate than the first ILD 96 or the gate spacers 80 . During the removal can the dummy dielectrics 72 can be used as etch stop layers when the dummy gates 74 to be etched. The dummy dielectrics 72 can then after removing the dummy gate 74 removed. Every cutout 98 lays out sections of the canal regions 68 in the second nanostructures 56B open and / or superimposed on this. Sections of the second nanostructures 56B that are considered the canal regions 68 are between adjacent pairs of the epitaxial source / drain regions 92 arranged.

Die verbleibenden Abschnitte der ersten Nanostrukturen 56A werden dann entfernt, um die Ausschnitte 98 zu entfernen. Die verbleibenden Abschnitte der ersten Nanostrukturen 56A können durch einen annehmbaren Ätzprozess entfernt werden, der selektiv das Material der ersten Nanostrukturen 56A schneller ätzt als die Materialien der zweiten Nanostrukturen 56B, die Finnen 54 und die STI-Regionen 60. Das Ätzen kann anisotrop sein. Beispielsweise kann, wenn die Finnen 54 und die zweiten Nanostrukturen 56B aus Silizium gebildet sind und die ersten Nanostrukturen 56A aus Siliziumgermanium, kann der Ätzprozess ein Nassätzen unter Verwendung von Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen sein.The remaining sections of the first nanostructures 56A are then removed to the cutouts 98 to remove. The remaining sections of the first nanostructures 56A can be removed by an acceptable etching process that selectively removes the material of the first nanostructures 56A etches faster than the materials of the second nanostructures 56B , Finns 54 and the STI regions 60 . The etching can be anisotropic. For example, if the Finns 54 and the second nanostructures 56B are formed from silicon and the first nanostructures 56A made of silicon germanium, the etching process can be a wet etching using tetramethylammonium hydroxide (TMAH), ammonium hydroxide (NH 4 OH), or the like.

Die offenliegenden Abschnitte der zweiten Nanostrukturen 56B und die Finnen 54 werden optional zurückgeschnitten. Das Zurückschneiden verringert die Dicke der offenliegenden Abschnitte der zweiten Nanostrukturen 56B von der zweiten Dicke T2 (oben mit Verweis auf 2 erklärt) auf eine dritte Dicke T3, wobei die dritte Dicke T3 in einem Bereich von ca. 3 nm bis ca. 8 nm liegt und die dritte Dicke T3 zwischen ca. 40 % und ca. 70 % weniger ist als die zweite Dicke T2. Das Zuschneiden kann gleichzeitig mit dem Bilden der Ausschnitte 98 erfolgen oder nach dem Bilden der Ausschnitte 98 erfolgen. Beispielsweise können die offenliegenden Abschnitte der zweiten Nanostrukturen 56B und der Finnen 54 durch einen annehmbaren Ätzprozess zugeschnitten werden, der selektiv das Material/die Materialien der zweiten Nanostrukturen 56B und die Finnen 54 mit einer schnelleren Rate ätzt als die Materialien der ersten Nanostrukturen 56A, die inneren Abstandhalter 84 und die Gateabstandhalter 80. Das Ätzen kann anisotrop sein. Beispielsweise kann, wenn die Finnen 54 und die zweiten Nanostrukturen 56B aus Silizium gebildet sind und die ersten Nanostrukturen 56A aus Siliziumgermanium gebildet sind, der Ätzprozess ein Nassätzen unter Verwendung einer verdünntem Ammoniumhydroxidwasserstoffperoxidmischung (APM), einer schwefeligen Säurewasserstoffperoxidemischung (SPM) oder dergleichen sein.The exposed portions of the second nanostructures 56B and the Finns 54 are optionally cut back. The trimming reduces the thickness of the exposed portions of the second nanostructures 56B of the second thickness T 2 (above with reference to 2 explained) to a third thickness T 3 , the third thickness T 3 being in a range from approx. 3 nm to approx. 8 nm and the third thickness T 3 being between approx. 40% and approx. 70% less than the second thickness T2 . The trimming can be done at the same time as the cutouts are made 98 take place or after forming the cutouts 98 take place. For example, the exposed portions of the second nanostructures 56B and the Finns 54 can be tailored through an acceptable etching process that selectively selects the material (s) of the second nanostructures 56B and the Finns 54 etches at a faster rate than the materials of the first nanostructures 56A , the inner spacers 84 and the gate spacers 80 . The etching can be anisotropic. For example, if the Finns 54 and the second nanostructures 56B are formed from silicon and the first nanostructures 56A are formed from silicon germanium, the etching process may be a wet etching using a dilute ammonium hydroxide hydrogen peroxide mixture (APM), a sulfurous acid hydrogen peroxide mixture (SPM), or the like.

In 10A bis 108 sind Gatedielektrika 102 und Gateelektroden 104 für Ersatzgates gebildet. Die Gatedielektrika 102 sind konform in den Ausschnitten 98 abgeschieden, wie etwa an oberen Flächen und Seitenwänden der Finnen 54 und an oberen Flächen, Seitenwänden und unteren Flächen der zweiten Nanostrukturen 56B. Die Gatedielektrika 102 können auch auf oberen Flächen der ersten ILD 96, der Gateabstandhalter 80 und der STI-Regionen 60 abgeschieden werden. Die Gatedielektrika 102 umfassen eine oder mehrere Dielektrikumschichten, wie etwa ein Oxid, ein Metalloxid, ein Metallsilikat, dergleichen oder Kombinationen daraus. In einigen Ausführungsformen umfassen die Gatedielektrika 102 Siliziumoxid, Siliziumnitrid oder mehrere Schichten davon. In einigen Ausführungsformen umfassen die Gatedielektrika 102 ein Dielektrikum mit hohem k-Wert, und in diesen Ausführungsformen können die Gatedielektrika 102 einen höheren k-Wert aufweisen als ca. 7,0 und ein Metalloxid oder ein Silikat von Hafnium, Aluminium, Zirkonium, Lanthan, Mangan, Barium, Titan, Blei und Kombinationen daraus umfassen. Die Gatedielektrika 102 können mehrschichtig sein. Beispielsweise können in einigen Ausführungsformen die Gatedielektrika 102 jeweils ein Grenzflächenschicht aus Siliziumoxid umfassen, die durch thermische und chemische Oxidation und eine Metalloxidschicht über der Grenzflächenschicht gebildet ist. Die Bildungsverfahren der Gatedielektrika 102 können Molekularstrahlabscheidung (MBD), ALD, PECVD und dergleichen umfassen.In 10A through 108 are gate dielectrics 102 and gate electrodes 104 formed for replacement gates. The gate dielectrics 102 are compliant in the cutouts 98 deposited, such as on top surfaces and side walls of the fins 54 and on top surfaces, sidewalls, and bottom surfaces of the second nanostructures 56B . The gate dielectrics 102 can also be used on upper surfaces of the first ILD 96 , the gate spacer 80 and the STI regions 60 to be deposited. The gate dielectrics 102 include one or more dielectric layers, such as an oxide, a metal oxide, a metal silicate, the like, or combinations thereof. In some embodiments, the gate includes dielectrics 102 Silicon oxide, silicon nitride, or several layers thereof. In some embodiments, the gate includes dielectrics 102 a high-k dielectric, and in these embodiments the gate dielectrics 102 have a k value greater than about 7.0 and comprise a metal oxide or a silicate of hafnium, aluminum, zirconium, lanthanum, manganese, barium, titanium, lead and combinations thereof. The gate dielectrics 102 can be multilayered. For example, in some embodiments, the gate dielectrics 102 each comprise an interface layer of silicon oxide formed by thermal and chemical oxidation and a metal oxide layer over the interface layer. The method of formation of the gate dielectrics 102 may include molecular beam deposition (MBD), ALD, PECVD, and the like.

Die Gateelektroden 104 sind jeweils über den Gatedielektrika 102 angeordnet und füllen die verbleibenden Abschnitte der Ausschnitte 98. Die Gateelektroden 104 können ein metallhaltiges Material wie Titannitrid, Titanoxid, Tantalnitrid, Tantalkarbid, Kobalt, Ruthenium, Aluminium, Wolfram, Kombinationen daraus oder mehrere Schichten daraus umfassen. Beispielsweise sind zwar einschichtige Gateelektroden 104 illustriert, die Gateelektroden 104 können jedoch jede beliebige Anzahl von Auskleidungsschichten, jede beliebige Anzahl von Austrittsarbeitsanpassungsschichten und ein Füllmaterial umfassen. Jede Kombination der Schichten, die die Gateelektroden 104 darstellen, können in den Bereichen zwischen jeder der zweiten Nanostrukturen 56B und zwischen den Finnen 54 und den zweiten Nanostrukturen 56B abgeschieden sein. Die Bildungsverfahren der Gateelektroden 104 können ALD, PECVD und dergleichen umfassen. Nach dem Füllen der Ausschnitte 98 kann ein Planarisierungsprozess wie ein CMP ausgeführt werden, um überschüssige Abschnitte der Materialien der Gatedielektrika 102 und der Gateelektroden 104 zu entfernen, wobei sich diese überschüssigen Abschnitte über den oberen Flächen des ersten ILD 96 und der Gateabstandhalter 80 befinden. Die verbleibenden Abschnitte der Materialien der Gatedielektrika 102 und der Gateelektroden 104 bilden so Ersatzgates der entstehenden Nano-FETs. Die Gatedielektrika 102 und die Gateelektroden 104 können kollektiv als Gatestrukturen 100 oder „Gatestapel“ bezeichnet werden.The gate electrodes 104 are each above the gate dielectrics 102 arranged and fill the remaining sections of the cutouts 98 . The gate electrodes 104 can comprise a metal-containing material such as titanium nitride, titanium oxide, tantalum nitride, tantalum carbide, cobalt, ruthenium, aluminum, tungsten, combinations thereof or several layers thereof. For example, they are single-layer gate electrodes 104 illustrates the gate electrodes 104 however, may include any number of liner layers, any number of work function adjustment layers, and a filler material. Any combination of the layers that make up the gate electrodes 104 can represent in the areas between each of the second nanostructures 56B and between the Finns 54 and the second nanostructures 56B be secluded. The method of forming the gate electrodes 104 can include ALD, PECVD, and the like. After filling the cutouts 98 A planarization process such as a CMP can be performed to remove excess portions of the gate dielectric materials 102 and the gate electrodes 104 remove, leaving these excess sections over the top surfaces of the first ILD 96 and the gate spacer 80 are located. The remaining sections of the gate dielectric materials 102 and the gate electrodes 104 thus form replacement gates of the resulting nano-FETs. The gate dielectrics 102 and the gate electrodes 104 can be used collectively as gate structures 100 or “gate stack”.

Das Bilden der Gatedielektrika 102 in der Region 50N und der Region 50P kann gleichzeitig auftreten, sodass die Gatedielektrika 102 in jeder Region aus denselben Materialien gebildet sind und das Bilden der Gateelektroden 104 gleichzeitig auftreten kann, sodass die Gateelektroden 104 in jeder Region aus denselben Materialien gebildet sind. In einigen Ausführungsformen können die Gatedielektrika 102 in jeder Region durch eigene Prozess gebildet sein, sodass die Gatedielektrika 102 aus verschiedenen Materialien bestehen können, und/oder die Gateelektroden 104 in jeder Region durch getrennte Prozesse gebildet sein können, sodass die Gateelektroden 104 aus unterschiedlichen Materialien bestehen können. Verschiedene Maskierungsschritte können verwendet werden, um geeignete Regionen bei der Verwendung getrennter Prozesse zu maskieren und offenzulegen.The making of the gate dielectrics 102 in the region 50N and the region 50P can at the same time occur so that the gate dielectrics 102 are formed from the same materials in each region and the formation of the gate electrodes 104 can occur simultaneously, so that the gate electrodes 104 are formed from the same materials in each region. In some embodiments, the gate dielectrics 102 be formed in each region by its own process, making the gate dielectrics 102 can consist of different materials, and / or the gate electrodes 104 in each region can be formed by separate processes, so that the gate electrodes 104 can consist of different materials. Different masking steps can be used to mask and reveal appropriate regions using separate processes.

In 11A und 11B sind Source-/Drain-Kontaktöffnungen 106 durch die erste ILD 96 und die CESL 94 gebildet. Die Source-/Drain-Kontaktöffnungen 106 können anfänglich unter Verwendung akzeptabler Photolithographie und Ätztechniken in dem ersten ILD 96 gebildet sein, wie etwa mit einem Ätzprozess, der selektiv für das erste ILD 96 ist (z. B. das Material des ersten ILD 96 schneller ätzt als das Material des CESL 94). Beispielsweise können die Source-/Drain-Kontaktöffnungen 106 anfänglich durch ein Trockenätzen unter Verwendung von Ammoniak (NH3) und Wasserstofffluoridgas (HF-Gas) durch das erste ILD 96 gebildet sein. Die Source-/Drain-Kontaktöffnungen 106 werden dann unter Verwendung akzeptabler Photolithographie und Ätztechniken durch die CESL 94 erweitert, wie etwa mit einem Ätzprozess, der selektiv für CESL 94 ist (z. B. das Material des CESL 94 schneller ätzt als das Material der epitaktischen Source-/Drain-Regionen 92). Beispielsweise können die Source-/Drain-Kontaktöffnungen 106 mit einem Trockenätzen unter Verwendung eines fluorbasierten Gases (z. B. C4F6) und von Wasserstoff- (H2) oder Sauerstoffgas (02) durch die CESL 94 erstreckt werden. Die Source-/Drain-Kontaktöffnungen 106 werden dann teilweise in die epitaktischen Source-/Drain-Regionen 92 erstreckt, wie etwa in die oberen Abschnitte der epitaktischen Source-/Drain-Regionen 92. Beispielsweise können die Source-/Drain-Kontaktöffnungen 106 durch ein Trockenätzen unter Verwendung von Chlorgas (Cl2-Gas) Wasserstoffbromidgas (HBr-Gas) und Sauerstoffgas (O2-Gas) in die oberen Abschnitte der epitaktischen Source-/Drain-Regionen 92 erstreckt werden.In 11A and 11B are source / drain contact openings 106 through the first ILD 96 and the CESL 94 educated. The source / drain contact openings 106 can be done initially in the first ILD using acceptable photolithography and etching techniques 96 be formed, such as with an etch process that is selective to the first ILD 96 is (e.g. the material of the first ILD 96 etches faster than the material of the CESL 94 ). For example, the source / drain contact openings 106 initially by dry etching using ammonia (NH 3 ) and hydrogen fluoride (HF) gas through the first ILD 96 be educated. The source / drain contact openings 106 are then made by the CESL using acceptable photolithography and etching techniques 94 expanded, such as with an etching process that is selective for CESL 94 is (e.g. the material of the CESL 94 etches faster than the material of the epitaxial source / drain regions 92 ). For example, the source / drain contact openings 106 with dry etching using a fluorine-based gas (e.g. C 4 F 6 ) and hydrogen ( H2 ) or oxygen gas ( 02 ) through the CESL 94 be extended. The source / drain contact openings 106 are then partially in the epitaxial source / drain regions 92 extends, such as into the upper portions of the epitaxial source / drain regions 92 . For example, the source / drain contact openings 106 by dry etching using chlorine gas (Cl2 gas), hydrogen bromide gas (HBr gas) and oxygen gas (O 2 gas), into the upper portions of the source / drain epitaxial regions 92 be extended.

Nach dem Bilden erstrecken sich die Source-/Drain-Kontaktöffnungen 106 um einen Abstand D2 in die oberen Abschnitte der epitaktischen Source-/Drain-Regionen 92. In einigen Ausführungsformen ist der Abstand D2 etwa die Hälfte der Höhe H1 der epitaktischen Source-/Drain-Regionen 92. Zeitgebundene Ätzprozesse können verwendet werden, um das Ätzen der Source-/Drain-Kontaktöffnungen 106 nach dem Erweitern der Source-/Drain-Kontaktöffnungen 106 auf die oberen Abschnitte der epitaktischen Source-/Drain-Regionen 92 um einen gewünschten Abstand D2 zu erweitern. Wenn beispielsweise die oben beschriebenen Ätzmittel verwendet werden, die epitaktischen Source-/Drain-Regionen 92 zu ätzen, kann das Ätzen für eine Dauer im Bereich von ca. 50 Sekunden bis ca. 200 Sekunden ausgeführt werden, die die Source-/Drain-Kontaktöffnungen 136 veranlassen können, sich um einen Abstand D2 im Bereich von ca. 15 nm bis ca. 60 nm in die oberen Abschnitte der epitaktischen Source-/Drain-Regionen 92 zu erstrecken.Once formed, the source / drain contact openings extend 106 by a distance D 2 into the upper portions of the epitaxial source / drain regions 92 . In some embodiments, the distance D 2 is about half the height H 1 of the epitaxial source / drain regions 92 . Timed etching processes can be used to etch the source / drain contact openings 106 after widening the source / drain contact openings 106 on the upper portions of the epitaxial source / drain regions 92 to expand a desired distance D 2 . For example, if the etchants described above are used, the epitaxial source / drain regions 92 To etch, the etching can be carried out for a duration in the range from about 50 seconds to about 200 seconds, covering the source / drain contact openings 136 can cause a distance D 2 in the range of about 15 nm to about 60 nm in the upper sections of the epitaxial source / drain regions 92 to extend.

Metallhalbleiterlegierungsregionen 108 sind in den Source-/Drain-Kontaktöffnungen 106 gebildet, wie etwa an Abschnitten der epitaktischen Source-/Drain-Regionen 92, die durch die Source-/Drain-Kontaktöffnungen 106 offengelegt sind. Die Metallhalbleiterlegierungsregionen 108 können Silizidregionen sein, die aus einem Metallsilizid (z. B. Titansilizid, Kobaltsilizid, Nickelsilizid usw.) gebildet sind, Germanidregionen, die aus einem Metallgermanid (z. B. Titangermanid, Kobaltgermanid, Nickelgermanid usw.) gebildet sind, Siliziumgermanidregionen, die gleichermaßen aus einem Metallsilizid und einem Metallgermanid gebildet sind, oder dergleichen. Die Metallhalbleiterlegierungsregionen 108 können durch Abscheiden eines Metalls in den Source-/Drain-Kontaktöffnungen 106 und dann Ausführen eines Wärmetemperprozesses gebildet sein. Das Metall kann jedes Metall sein, das in der Lage ist, mit den Halbleitermaterialien (z. B. Silizium, Siliziumgermanium, Germanium usw.) der epitaktischen Source-/Drain-Regionen 92 zu reagieren, um eine Metallhalbleiterlegierung mit geringem Widerstand zu bilden, wie etwa Nickel, Kobalt, Titan, Tantal, Platin, Wolfram oder andere Edelmetalle, andere hochschmelzende Metalle, seltene Erdmetalle oder deren Legierungen. Ein Metall kann durch einen Abscheidungsprozess wie etwa ALD, CVD, PVD oder dergleichen abgeschieden werden. In einer Ausführungsform sind die Metallhalbleiterlegierungsregionen 108 Silizidregionen, die aus Titansilizium gebildet sind. Nach dem thermischen Temperprozess kann ein Reinigungsprozess, wie etwa eine Nassreinigung, ausgeführt werden, um Restmetall von den Source-/Drain-Kontaktöffnungen 106 zu entfernen, wie etwa von den oberen Flächen der ersten ILD 96.Metal semiconductor alloy regions 108 are in the source / drain contact openings 106 such as at portions of the source / drain epitaxial regions 92 passing through the source / drain contact openings 106 are disclosed. The metal semiconductor alloy regions 108 may be silicide regions formed from a metal silicide (e.g. titanium silicide, cobalt silicide, nickel silicide, etc.), germanide regions formed from a metal germanide (e.g. titanium germanide, cobalt germanide, nickel germanide, etc.), silicon germanide regions, the same are formed from a metal silicide and a metal germanide, or the like. The metal semiconductor alloy regions 108 can be achieved by depositing a metal in the source / drain contact openings 106 and then performing a heat annealing process. The metal can be any metal capable of interfering with the semiconductor materials (e.g., silicon, silicon germanium, germanium, etc.) of the epitaxial source / drain regions 92 react to form a low resistance metal semiconductor alloy such as nickel, cobalt, titanium, tantalum, platinum, tungsten or other precious metals, other refractory metals, rare earth metals, or their alloys. A metal can be deposited by a deposition process such as ALD, CVD, PVD, or the like. In one embodiment, the metal semiconductor alloy regions are 108 Silicide regions formed from titanium silicon. After the thermal anneal process, a cleaning process, such as wet cleaning, can be performed to remove residual metal from the source / drain contact openings 106 such as from the upper surfaces of the first ILD 96 .

In der illustrierten Ausführungsform sind die Source-/Drain-Kontaktöffnungen 106 in einem selbstausgerichteten Strukturierungsverfahren gebildet, sodass alle der ersten ILD 96 in dem Querschnitt aus 11B entfernt werden. In einer anderen Ausführungsform können andere Strukturierungsverfahren verwendet werden, sodass einige der ersten ILD 96 in dem Querschnitt aus 11B verbleiben.In the illustrated embodiment, the source / drain are contact openings 106 formed in a self-aligned structuring process so that all of the first ILD 96 in the cross section 11B removed. In another embodiment, other structuring techniques can be used so that some of the first ILD 96 in the cross section 11B remain.

In 12A und 12B sind erste Source-/Drain-Kontakte 112A in den Source-/Drain-Kontaktöffnungen 106 gebildet. Eine Auskleidung, wie etwa eine Diffusionsbarriereschicht, eine Klebeschicht oder dergleichen und ein leitfähiges Material sind in den Source-/Drain-Kontaktöffnungen 106 gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. Die Auskleidung kann durch einen konformen Abscheidungsprozess abgeschieden werden, wie etwa eine Atomlagenabscheidung (ALD), chemische Gasphasenabscheidung (CVD), physische Gasphasenabscheidung (PVD) oder dergleichen. In einigen Ausführungsformen kann die Auskleidung eine Klebeschicht umfassen und mindestens ein Abschnitt der Klebeschicht kann behandelt sein, eine Diffusionsbarriereschicht zu bilden. Das leitfähige Material kann Wolfram, Kobalt, Ruthenium, Aluminium, Nickel, Kupfer, eine Kupferlegierung, Silber, Gold oder dergleichen sein. Das leitfähige Material kann durch ALD, CVD, PVD oder abgeschieden sein. Ein Planarisierungsprozess, wie etwa ein CMP, kann durchgeführt werden, um überschüssiges Material von den oberen Flächen des ersten ILD 96 zu entfernen. Die verbleibende Auskleidung und das leitfähige Material in den Source-/Drain-Kontaktöffnungen 106 bildet die ersten Source-/Drain-Kontakte 112A. Die ersten Source-/Drain-Kontakte 112A sind physisch und elektrisch mit den Metallhalbleiterlegierungsregionen 108 gekoppelt. Die oberen Flächen der ersten Source-/Drain-Kontakte 112A, die Gateelektroden 104 und die Gateabstandhalter 80 sind koplanar (innerhalb der Prozessvariationen).In 12A and 12B are the first source / drain contacts 112A in the source / drain contact openings 106 educated. A liner, such as a diffusion barrier layer, an adhesive layer or the like, and a conductive material are in the source / drain contact openings 106 educated. The liner can comprise titanium, titanium nitride, tantalum, tantalum nitride, or the like. The liner can be deposited by a conformal deposition process such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), or the like. In some embodiments, the liner can include an adhesive layer and at least a portion of the adhesive layer can be treated to form a diffusion barrier layer. The conductive material can be tungsten, cobalt, ruthenium, aluminum, nickel, copper, a copper alloy, silver, gold or the like. The conductive material can be deposited by ALD, CVD, PVD or. A planarization process, such as a CMP, can be performed to remove excess material from the top surfaces of the first ILD 96 to remove. The remaining liner and conductive material in the source / drain contact openings 106 forms the first source / drain contacts 112A . The first source / drain contacts 112A are physically and electrically related to the metal semiconductor alloy regions 108 coupled. The upper surfaces of the first source / drain contacts 112A , the gate electrodes 104 and the gate spacers 80 are coplanar (within the process variations).

Nach dem Bilden weisen die ersten Source-/Drain-Kontakte 112A ähnliche Abmessungen auf wie die Source-/Drain-Kontaktöffnungen 106. Die ersten Source-/Drain-Kontakte 112A erstrecken sich um den Abstand D2 (siehe 11B) in die oberen Abschnitte der epitaktischen Source-/Drain-Regionen 92 und weisen eine Höhe H2 auf. Die Höhe H 2 kann im Bereich von ca. 30 nm bis ca. 90 nm liegen. In Ausführungsformen, in denen die Distanz D2 etwa die Hälfte der Höhe H1 beträgt (siehe 7B), ist die Höhe H2 mehr als etwa die Hälfte der Höhe H1.After the formation, the first source / drain contacts have 112A similar dimensions as the source / drain contact openings 106 . The first source / drain contacts 112A extend by the distance D 2 (see 11B) into the upper portions of the epitaxial source / drain regions 92 and have a height H 2 . The height H 2 can be in the range from approx. 30 nm to approx. 90 nm. In embodiments in which the distance D 2 is approximately half the height H 1 (see FIG 7B) , the height H 2 is more than about half the height H 1 .

In 13A und 13B ist eine zweite ILD 114 über der ersten ILD 96, den Gateelektroden 104 und den ersten Source-/Drain-Kontakten 112A abgeschieden. Die zweite ILD 114 kann aus einem Material gebildet sein, das aus derselben Gruppe von Kandidatenmaterialien der ersten ILD 96 gebildet ist und kann unter Verwendung eines Verfahrens abgeschieden sein, das aus derselben Gruppe Kandidatenverfahren gewählt ist, um die erste ILD 96 abzuscheiden. Die erste ILD 96 und die zweite ILD 114 können aus demselben Material gebildet sein oder andere Materialien umfassen. Nach dem Bilden kann die zweite ILD 114 planarisiert werden, wie etwa durch ein CMP. In einigen Ausführungsformen ist eine Ätzstoppschicht zwischen der ersten ILD 96 und der zweiten ILD 114 gebildet. Die Ätzstoppschicht kann ein Dielektrikum umfassen, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen, das eine andere Ätzrate aufweist als das Material der darüberliegenden zweiten ILD 114.In 13A and 13B is a second ILD 114 above the first ILD 96 , the gate electrodes 104 and the first source / drain contacts 112A deposited. The second ILD 114 may be formed from a material selected from the same group of candidate materials of the first ILD 96 is formed and may be deposited using a method selected from the same group of candidate methods to produce the first ILD 96 to be deposited. The first ILD 96 and the second ILD 114 can be formed from the same material or comprise different materials. After forming the second ILD 114 be planarized, such as by a CMP. In some embodiments, there is an etch stop layer between the first ILD 96 and the second ILD 114 educated. The etch stop layer may comprise a dielectric, such as silicon nitride, silicon oxide, silicon oxynitride, or the like, that has a different etch rate than the material of the overlying second ILD 114 .

Kontaktöffnungen 116 sind dann in der zweiten ILD 114 gebildet. Ein erster Untersatz der Kontaktöffnungen 116A legt die ersten Source-/Drain-Kontakte 112A offen und ein zweiter Untersatz der Kontaktöffnungen 116B legt die Gateelektroden 104 offen. Die Kontaktöffnungen 116 können unter Verwendung akzeptabler Photolithographie und Ätztechniken gebildet werden.Contact openings 116 are then in the second ILD 114 educated. A first subset of the contact openings 116A makes the first source / drain contacts 112A open and a second subset of the contact openings 116B lays the gate electrodes 104 open minded. The contact openings 116 can be formed using acceptable photolithography and etching techniques.

In 14A und 14B sind zweite Source-/Drain-Kontakte 112B und Gatekontakte 118 gebildet, die sich durch die zweite ILD 114 erstrecken. Eine Auskleidung, wie etwa eine Diffusionsbarriereschicht, eine Klebeschicht oder dergleichen und ein leitfähiges Material sind in den Kontaktöffnungen 116 gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. Die Auskleidung kann durch einen konformen Abscheidungsprozess abgeschieden werden, wie etwa eine Atomlagenabscheidung (ALD), chemische Gasphasenabscheidung (CVD), physische Gasphasenabscheidung (PVD) oder dergleichen. In einigen Ausführungsformen kann die Auskleidung eine Klebeschicht umfassen und mindestens ein Abschnitt der Klebeschicht kann behandelt sein, eine Diffusionsbarriereschicht zu bilden. Das leitfähige Material kann Wolfram, Kobalt, Ruthenium, Aluminium, Nickel, Kupfer, eine Kupferlegierung, Silber, Gold oder dergleichen sein. Das leitfähige Material kann durch ALD, CVD, PVD oder abgeschieden sein. Ein Planarisierungsprozess, wie etwa ein CMP, kann durchgeführt werden, um überschüssiges Material von einer oberen Fläche des zweiten ILD 114 zu entfernen. Die verbleibende Auskleidung und das leitfähige Material in den Kontaktöffnungen 116A bildet die zweiten Source-/Drain-Kontakte 112B. Die verbleibende Auskleidung und das leitfähige Material in den Kontaktöffnungen 116B bildet die Gatekontakte 118. Die zweiten Source/Drain-Kontakte 112B sind physisch und elektrisch mit den ersten Source-/Drain-Kontakten 112A gekoppelt und die Gatekontakte 118 sind physisch und elektrisch mit den Gateelektroden 104 gekoppelt.In 14A and 14B are second source / drain contacts 112B and gate contacts 118 formed by the second ILD 114 extend. A lining, such as a diffusion barrier layer, an adhesive layer or the like, and a conductive material are in the contact openings 116 educated. The liner can comprise titanium, titanium nitride, tantalum, tantalum nitride, or the like. The liner can be deposited by a conformal deposition process such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), or the like. In some embodiments, the liner can include an adhesive layer and at least a portion of the adhesive layer can be treated to form a diffusion barrier layer. The conductive material can be tungsten, cobalt, ruthenium, aluminum, nickel, copper, a copper alloy, silver, gold or the like. The conductive material can be deposited by ALD, CVD, PVD or. A planarization process, such as a CMP, can be performed to remove excess material from a top surface of the second ILD 114 to remove. The remaining liner and conductive material in the contact openings 116A forms the second source / drain contacts 112B . The remaining liner and conductive material in the contact openings 116B forms the gate contacts 118 . The second source / drain contacts 112B are physically and electrically connected to the first source / drain contacts 112A coupled and the gate contacts 118 are physically and electrically connected to the gate electrodes 104 coupled.

Die zweiten Source-/Drain-Kontakte 112B und die Gatekontakte 118 können in verschiedenen Prozessen gebildet werden oder können in demselben Prozess gebildet werden. Ferner können die zweiten Source-/Drain-Kontakte 112B und die Gatekontakte 118 in denselben Querschnitten gebildet sein, die durch 13B und 14B dargestellt sind, oder können in anderen Querschnitten gebildet sein, wie durch 13A und 14A dargestellt, was Kurzschlüsse der Kontakte vermeiden kann.The second source / drain contacts 112B and the gate contacts 118 can be formed in different processes or can be formed in the same process. Furthermore, the second source / drain contacts 112B and the gate contacts 118 be formed in the same cross-sections that through 13B and 14B are shown, or can be formed in other cross-sections be like through 13A and 14A shown what can prevent short circuits of the contacts.

Wie nachfolgende ausführlicher erklärt wird, wird eine erste Zwischenverbindungsstruktur (z. B. eine vorderseitige Zwischenverbindungsstruktur) über dem Substrat 50 gebildet. Das Substrat 50 wird dann entfernt und mit einer zweiten Zwischenverbindungsstruktur ersetzt (z. B. einer rückseitigen Zwischenverbindungsstruktur). So wird eine Vorrichtungsschicht 120 aktiver Vorrichtungen zwischen einer vorderseitigen Zwischenverbindungsstruktur und einer rückseitigen Zwischenverbindungsstruktur gebildet. Die vorderseitigen und rückseitigen Zwischenverbindungsstrukturen umfassen jeweils leitfähige Elemente, die elektrisch mit den Nano-FETs der Vorrichtungsschicht 120 verbunden sein. Die leitfähigen Elemente (z. B. Metallisierungsstrukturen, auch bezeichnet als Zwischenverbindungen) der vorderseitigen Zwischenverbindungsstruktur sind elektrisch mit Vorderseiten einer oder mehrerer der epitaktischen Source-/Drain-Regionen 92 und der Gateelektroden 104 verbunden, um funktionale Schaltungen zu bilden, wie etwa Logikschaltungen, Speicherschaltungen, Bildsensorschaltungen oder dergleichen. Die leitfähigen Elemente (z. B. Stromschienen) der rückseitigen Zwischenverbindungsstruktur wird elektrisch mit Rückseiten einer oder mehrerer der epitaktischen Source-/Drain-Regionen 92 verbunden, um eine Referenzspannung, Versorgungsspannung oder dergleichen an die funktionalen Schaltungen bereitzustellen. Ferner werden leitfähige Durchkontaktierungen durch die Vorrichtungsschicht 120 gebildet, die einige der leitfähigen Elemente der vorderseitigen Zwischenverbindungsstruktur mit einigen der leitfähigen Elemente der rückseitigen Zwischenverbindungsstruktur verbinden. Speziell ist ein leitfähiges Element (z. B. eine Stromschiene) der rückseitigen Zwischenverbindungsstruktur mit einem leitfähigen Element (z. B. Metallisierungsstrukturen) der vorderseitigen Zwischenverbindungsstruktur verbunden und auch mit einer oder mehreren der epitaktischen Source-/Drain-Regionen 92 der Vorrichtungsschicht 120 verbunden. Auch wenn die Vorrichtungsschicht 120 als Nano-FETs aufweisen beschrieben wird, können andere Ausführungsformen eine Vorrichtungsschicht 120 aufweisen, die eine andere Art von Transistor aufweisen (z. B. planare FETs, FinFETs, TFTs oder dergleichen).As will be explained in more detail below, a first interconnect structure (e.g., a front side interconnect structure) is provided over the substrate 50 educated. The substrate 50 is then removed and replaced with a second interconnect structure (e.g., a back interconnect structure). So becomes a device layer 120 active devices are formed between a front interconnect structure and a rear interconnect structure. The front and back interconnect structures each include conductive elements that are electrically connected to the nano-FETs of the device layer 120 be connected. The conductive elements (e.g., metallization structures, also referred to as interconnects) of the front side interconnect structure are electrically connected to front sides of one or more of the epitaxial source / drain regions 92 and the gate electrodes 104 connected to form functional circuits such as logic circuits, memory circuits, image sensor circuits, or the like. The conductive elements (e.g., bus bars) of the rear interconnect structure electrically connects to rear surfaces of one or more of the epitaxial source / drain regions 92 connected to provide a reference voltage, supply voltage or the like to the functional circuits. Furthermore, conductive vias are made through the device layer 120 that connect some of the conductive elements of the front interconnection structure to some of the conductive elements of the rear interconnection structure. Specifically, a conductive element (e.g. a bus bar) of the rear interconnection structure is connected to a conductive element (e.g. metallization structures) of the front interconnection structure and also to one or more of the epitaxial source / drain regions 92 the device layer 120 tied together. Even if the device layer 120 is described as having nano-FETs, other embodiments may include a device layer 120 having a different type of transistor (e.g., planar FETs, FinFETs, TFTs, or the like).

Auch wenn 14B einen zweiten Source-/Drain-Kontakt 112B illustriert, der sich auf jede der epitaktischen Source-/Drain-Regionen 92 erstreckt, können die zweiten Source-/Drain-Kontakte 112B von bestimmten der epitaktischen Source-/Drain-Regionen 92 ausgelassen werden. Beispielsweise ist, wie ausführlicher weiter unten erklärt, ein Untersatz der epitaktischen Source-/Drain-Regionen 92P mit leitfähigen Elementen (z. B. einer Stromschiene) der rückseitigen Zwischenverbindungsstruktur verbunden. In der illustrierten Ausführungsform für diese bestimmten epitaktischen Source-/Drain-Regionen 92P sind die zweiten Source-/Drain-Kontakte 112B auch so gebildet, dass die Stromschienen mit darüberliegenden leitfähigen Elementen der vorderseitigen Zwischenverbindungsstruktur verbunden sein können. In anderen Ausführungsformen können für diese bestimmten epitaktischen Source-/Drain-Regionen 92P die zweiten Source-/Drain-Kontakte 112B weggelassen werden, oder können Dummykontakte, die nicht elektrisch mit darüberliegenden leitfähigen Elementen der vorderseitigen Zwischenverbindungsstruktur verbunden sind, sein.Even if 14B a second source / drain contact 112B illustrated referring to each of the source / drain epitaxial regions 92 extends, the second source / drain contacts 112B from certain of the epitaxial source / drain regions 92 be left out. For example, as explained in more detail below, is a subset of the source / drain epitaxial regions 92P connected to conductive elements (e.g. a bus bar) of the rear interconnect structure. In the illustrated embodiment for these particular epitaxial source / drain regions 92P are the second source / drain contacts 112B also formed so that the busbars can be connected to overlying conductive elements of the front-side interconnection structure. In other embodiments, certain epitaxial source / drain regions can be used for these 92P the second source / drain contacts 112B can be omitted or dummy contacts that are not electrically connected to overlying conductive elements of the front interconnect structure.

15 bis 22 sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von Halbleitervorrichtungen nach einigen Ausführungsformen. Speziell ist die Herstellung von vorderseitigen und rückseitigen Zwischenverbindungsstrukturen für Nano-FETs illustriert. 15, 16, 17, 18B, 19B, 20, 21 und 22 sind Querschnittsansichten, die entlang Referenzquerschnitt A-A in 1 illustriert sind. 18A und 19B sind dreidimensionale Ansichten, die eine ähnliche dreidimensionale Ansicht wie 1 zeigen, wobei jedoch eine Gatestruktur und zwei Finnen gezeigt sind. 18A und 19A sind vereinfachte dreidimensionale Ansichten und zeigen nicht alle Eigenschaften der entsprechenden 18B und 19B. 15, 16, 17, 18B, 19B, 20, 21 und 22 können für die n-Region 50N und die p-Region 50P gelten. Unterschiede (wenn vorhanden) der Strukturen der n-Region 50N und der p-Region 50P werden in dem Text beschrieben, der jeder Figur beiliegt. 15th until 22nd FIG. 12 are various views of intermediate stages in the manufacture of semiconductor devices in accordance with some embodiments. Specifically, the fabrication of front and rear interconnect structures for nano-FETs is illustrated. 15th , 16 , 17th , 18B , 19B , 20th , 21 and 22nd are cross-sectional views taken along reference cross-section AA in 1 are illustrated. 18A and 19B are three-dimensional views that have a similar three-dimensional view as 1 but showing a gate structure and two fins. 18A and 19A are simplified three-dimensional views and do not show all properties of the corresponding 18B and 19B . 15th , 16 , 17th , 18B , 19B , 20th , 21 and 22nd can for the n region 50N and the p region 50P are valid. Differences (if any) in the structures of the n-region 50N and the p-region 50P are described in the text accompanying each figure.

In 15 wird eine Zwischenverbindungsstruktur 122 an der Vorrichtungsschicht 120 gebildet, z. B. an der zweiten ILD 114. Die Zwischenverbindungsstruktur 122 kann auch als eine vorderseitige Zwischenverbindungsstruktur bezeichnet werden, da sie an einer Vorderseitige des Substrats 50/der Vorrichtungsschicht 120 (z. B. einer Seite des Substrats 50, an der die Vorrichtungsschicht 120 gebildet ist) gebildet ist.In 15th becomes an interconnection structure 122 at the device layer 120 formed, e.g. B. at the second ILD 114 . The interconnection structure 122 may also be referred to as a front side interconnect structure as it is on a front side of the substrate 50 / device layer 120 (e.g. one side of the substrate 50 at which the device layer 120 is formed) is formed.

Die Zwischenverbindungsstruktur 122 kann eine oder mehrere Schichten leitfähiger Elemente 124 umfassen, die in einer oder mehreren gestapelten Dielektrikumschichten 126 gebildet sind. Jede der Dielektrikumschichten 126 kann ein Dielektrikum umfassen, wie etwa ein Dielektrikum mit niedrigem k-Wert, ein Dielektrikum mit besonders niedrigem k-Wert (ELK-Dielektrikum) oder dergleichen. Die Dielektrikumschichten 126 können unter Verwendung eines angemessenen Prozesses wie CVD, ALD, PVD, PECVD oder dergleichen abgeschieden werden.The interconnection structure 122 can be one or more layers of conductive elements 124 comprised in one or more stacked dielectric layers 126 are formed. Each of the dielectric layers 126 may include a dielectric, such as a low-k dielectric, a particularly low-k dielectric (ELK dielectric), or the like. The dielectric layers 126 can be deposited using an appropriate process such as CVD, ALD, PVD, PECVD, or the like.

Die leitfähigen Elemente 124 können leitfähige Leitungen und leitfähige Durchkontaktierungen umfassen, die die Schichten der leitfähigen Leitungen verbinden. Die leitfähigen Durchkontaktierungen können sich durch jeweilige der Dielektrikumschichten 126 erstrecken, um vertikale Verbindungen zwischen Schichten leitfähiger Leitungen bereitzustellen. Die leitfähigen Elemente 124 können durch einen beliebigen akzeptablen Prozess gebildet sein. Beispielsweise können die leitfähigen Elemente 124 durch einen Damaszenprozess gebildet werden, wie etwa ein Einzeldamaszenprozess, ein Doppeldamaszenprozess oder dergleichen. In einem Damaszenprozess ist eine jeweilige Dielektrikumschicht 126 unter Verwendung einer Kombination aus Photolithographie und Ätztechniken strukturiert, um Gräben zu bilden, die der gewünschten Struktur der leitfähigen Elemente 124 entsprechen. Eine optionale Diffusionsbarriere und/oder optionale Klebeschicht kann abgeschieden werden und die Gräben können dann mit einem leitfähigen Material gefüllt werden. Geeignete Materialien für die Barriereschicht umfassen Titan, Titannitrid, Titanoxid, Tantal, Tantalnitrid, Tantaloxid oder andere Alternativen und geeignete Materialien für das leitfähige Material umfassen Kupfer, Silber, Gold, Wolfram, Aluminium, Kombinationen daraus oder dergleichen. In einer Ausführungsform können die leitfähigen Elemente 124 durch Abscheiden einer Seed-Schicht aus Kupfer oder einer Kupferlegierung und Füllen der Gräben mit Elektroplattierung gebildet werden. Ein chemisch-mechanischer Planarisierungsprozess (CMP-Prozess) oder dergleichen kann verwendet werden, überschüssiges leitfähiges Material von einer Fläche der jeweiligen Dielektrikumschicht 126 zu entfernen und nachfolgend die Fläche für nachfolgende Verarbeitung zu planarisieren.The conductive elements 124 may include conductive lines and conductive vias connecting the layers of the conductive lines. The conductive vias can extend through respective ones of the dielectric layers 126 extend to provide vertical connections between layers of conductive lines. The conductive elements 124 can be formed by any acceptable process. For example, the conductive elements 124 be formed by a damascene process such as a single damascene process, a double damascene process, or the like. A respective dielectric layer is in a damascene process 126 patterned using a combination of photolithography and etching techniques to form trenches corresponding to the desired structure of the conductive elements 124 correspond. An optional diffusion barrier and / or optional adhesive layer can be deposited and the trenches can then be filled with a conductive material. Suitable materials for the barrier layer include titanium, titanium nitride, titanium oxide, tantalum, tantalum nitride, tantalum oxide, or other alternatives, and suitable materials for the conductive material include copper, silver, gold, tungsten, aluminum, combinations thereof, or the like. In one embodiment, the conductive elements 124 by depositing a seed layer of copper or a copper alloy and filling the trenches with electroplating. A chemical mechanical planarization (CMP) process or the like can be used to remove excess conductive material from one surface of the respective dielectric layer 126 to remove and then planarize the surface for subsequent processing.

In dem illustrierten Beispiel sind fünf Schichten leitfähiger Elemente 124 und Dielektrikumschichten 126 illustriert. Es sollte jedoch beachtet werden, dass die Zwischenverbindungsstruktur 122 eine beliebige Anzahl leitfähiger Elemente umfassen kann, die an einer beliebigen Anzahl von Dielektrikumschichten angeordnet sind. Die leitfähigen Elemente 124 der Zwischenverbindungsstruktur 122 sind elektrisch mit den Gatekontakten 118 und den zweiten Source-/Drain-Kontakten 112B verbunden, um funktionale Schaltungen zu bilden. In einigen Ausführungsformen können die funktionalen Schaltungen, die durch die Zwischenverbindungsstruktur 122 gebildet sind, Logikschaltungen, Speicherschaltungen, Bildsensorschaltungen oder dergleichen umfassen. Die zweiten ILD 114, die zweiten Source-/Drain-Kontakte 112B und die Gatekontakte 118 können auch als Teil der Zwischenverbindungsstruktur 122 betrachtet werden, wie etwa Teil einer ersten Ebene leitfähiger Elemente der Zwischenverbindungsstruktur 122.In the illustrated example there are five layers of conductive elements 124 and dielectric layers 126 illustrated. However, it should be noted that the interconnection structure 122 may include any number of conductive elements disposed on any number of dielectric layers. The conductive elements 124 the interconnection structure 122 are electrical with the gate contacts 118 and the second source / drain contacts 112B connected to form functional circuits. In some embodiments, the functional circuitry represented by the interconnect structure 122 include logic circuits, memory circuits, image sensor circuits, or the like. The second ILD 114 , the second source / drain contacts 112B and the gate contacts 118 can also be used as part of the interconnect structure 122 may be considered as part of a first level of conductive elements of the interconnect structure 122 .

In 16 ist ein Trägersubstrat 130 durch Verbindungsschichten 132A, 132B (kollektiv bezeichnet als Verbindungsschichten 132) mit einer oberen Fläche der Zwischenverbindungsstruktur 122 verbunden. Das Trägersubstrat 130 kann ein Glasträgersubstrat, ein Keramikträgersubstrat, ein Halbleitersubstrat (z. B. ein Siliziumsubstrat), ein Wafer (z. B. ein Siliziumwafer) oder dergleichen sein. Das Trägersubstrat 130 kann strukturelle Unterstützung während nachfolgenden Verarbeitungsschritten und in der fertiggestellten Vorrichtung bereitstellen. Das Trägersubstrat 130 ist im Wesentlichen frei von allen aktiven oder passiven Vorrichtungen.In 16 is a carrier substrate 130 by connecting layers 132A , 132B (collectively referred to as tie layers 132 ) with a top surface of the interconnection structure 122 tied together. The carrier substrate 130 can be a glass carrier substrate, a ceramic carrier substrate, a semiconductor substrate (e.g. a silicon substrate), a wafer (e.g. a silicon wafer) or the like. The carrier substrate 130 can provide structural support during subsequent processing steps and in the finished device. The carrier substrate 130 is essentially free of all active or passive devices.

In verschiedenen Ausführungsformen kann das Trägersubstrat 130 mit der Zwischenverbindungsstruktur 122 unter Verwendung einer geeigneten Technik wie Dielektrikum-Dielektrikum-Verbindung oder dergleichen verbunden sein. Dielektrikum-Dielektrikum-Verbindung kann Abscheiden der Verbindungsschichten 132A, 132B auf der Zwischenverbindungsstruktur 122 bzw. dem Trägersubstrat 130 umfassen. In einigen Ausführungsformen umfasst die Verbindungsschicht 132A Siliziumoxid (z. B. ein hochdichtes Plasmaoxid (HDP) oder dergleichen), das durch CVD, ALD, PVD oder dergleichen abgeschieden ist. Die Verbindungsschicht 132B kann ebenso eine Oxidschicht sein, die vor der Verbindung unter Verwendung von beispielsweise CVD, ALD, PVD, thermischer Oxidation oder dergleichen gebildet ist. Andere geeignete Materialien können ebenfalls für die Verbindungsschichten 132A, 132B verwendet werden.In various embodiments, the carrier substrate 130 with the interconnection structure 122 be connected using a suitable technique such as dielectric-dielectric connection or the like. Dielectric-dielectric connection can deposit the connection layers 132A , 132B on the interconnect structure 122 or the carrier substrate 130 include. In some embodiments, the connection layer comprises 132A Silicon oxide (e.g., a high density plasma oxide (HDP) or the like) deposited by CVD, ALD, PVD or the like. The connection layer 132B may also be an oxide layer formed prior to bonding using, for example, CVD, ALD, PVD, thermal oxidation, or the like. Other suitable materials can also be used for the tie layers 132A , 132B be used.

Der Dielektrikum-Dielektrikum-Verbindungprozess kann ferner umfassen, eine Oberflächenbehandlung auf eine oder mehrere der Verbindungsschichten 132 aufzubringen. Die Oberflächenbehandlung kann eine Plasmabehandlung umfassen. Die Plasmabehandlung kann in einer Vakuumumgebung erfolgen. Nach der Plasmabehandlung kann die Oberflächenbehandlung ferner einen Reinigungsprozess umfassen (z. B. ein Spülen mit entionisiertem Wasser oder dergleichen), der auf eine oder mehrere der Verbindungsschichten 132 angewendet werden kann. Das Trägersubstrat 130 wird dann an der Zwischenverbindungsstruktur 122 ausgerichtet und die beiden werden aneinander gepresst, um die Vorverbindung des Trägersubstrats 130 an die Zwischenverbindungsstruktur 122 einzuleiten. Die Vorverbindung kann bei Zimmertemperatur erfolgen (z. B. in einem Bereich von ca. 20 °C bis ca. 25 °C). Nach der Vorverbindung kann ein Temperprozess angewendet werden, beispielsweise durch Erhitzen der Zwischenverbindungsstruktur 122 und des Trägersubstrats 130 auf eine Temperatur von ca. 170 °C.The dielectric-dielectric connection process may further include a surface treatment on one or more of the connection layers 132 to raise. The surface treatment can comprise a plasma treatment. The plasma treatment can be done in a vacuum environment. After the plasma treatment, the surface treatment may further include a cleaning process (e.g., rinsing with deionized water or the like) applied to one or more of the tie layers 132 can be applied. The carrier substrate 130 is then attached to the interconnection structure 122 aligned and the two are pressed together to pre-connect the carrier substrate 130 to the interconnection structure 122 initiate. The pre-connection can take place at room temperature (e.g. in a range from approx. 20 ° C to approx. 25 ° C). After the pre-connection, an annealing process can be used, for example by heating the interconnection structure 122 and the carrier substrate 130 to a temperature of approx. 170 ° C.

In 17 ist die Zwischenstruktur umgedreht, sodass die Rückseite des Substrats 50 nach oben weist. Die Rückseite des Substrats 50 bezieht sich auf die Seite gegenüber der Vorderseite des Substrats 50, an der die Vorrichtungsschicht 120 gebildet ist. Das Substrat 50 wird dann ausgedünnt, um rückseitige Abschnitte des Substrats 50 zu entfernen. Der Ausdünnungsprozess kann einen Planarisierungsprozess (z. B. mechanisches Schleifen, chemisch-mechanische Politur (CMP) oder dergleichen), einen Rückätzprozess, Kombinationen daraus oder dergleichen umfassen. Der Ausdünnungsprozess legt die STI-Regionen 60 und Flächen der Finnen 54 an der Rückseite der Vorrichtungsschicht 120 offen.In 17th the intermediate structure is upside down, so that the back of the substrate 50 facing up. The back of the substrate 50 refers to on the side opposite the front of the substrate 50 at which the device layer 120 is formed. The substrate 50 is then thinned to rear portions of the substrate 50 to remove. The thinning process can include a planarization process (e.g., mechanical grinding, chemical mechanical polishing (CMP), or the like), an etch back process, combinations thereof, or the like. The thinning process defines the STI regions 60 and surfaces of the Finns 54 at the back of the device layer 120 open minded.

Eine Dielektrikumschicht 128 ist über der Rückseite der Vorrichtungsschicht 120 angeordnet, wie etwa über den Finnen 54 und den STI-Regionen 60. Die Dielektrikumschicht 128 ist Teil einer Zwischenverbindungsstruktur, die an der Vorrichtungsschicht 120 gebildet wird. Die Dielektrikumschicht 128 kann physisch Flächen der verbleibenden Abschnitte der Finnen 54 und der STI-Regionen 60 kontaktieren. Die Dielektrikumschicht 128 kann aus einem Material gebildet sein, das aus derselben Gruppe von Kandidatenmaterialien der ersten ILD 96 gebildet ist und kann unter Verwendung eines Verfahrens abgeschieden sein, das aus derselben Gruppe Kandidatenverfahren gewählt ist, um die erste ILD 96 abzuscheiden. Die erste ILD 96 und die Dielektrikumschicht 128 können aus demselben Material gebildet sein oder andere Materialien umfassen.A dielectric layer 128 is across the back of the device layer 120 arranged, such as over the fins 54 and the STI regions 60 . The dielectric layer 128 is part of an interconnect structure attached to the device layer 120 is formed. The dielectric layer 128 can physically face the remaining sections of the fins 54 and the STI regions 60 to contact. The dielectric layer 128 may be formed from a material selected from the same group of candidate materials of the first ILD 96 is formed and may be deposited using a method selected from the same group of candidate methods to produce the first ILD 96 to be deposited. The first ILD 96 and the dielectric layer 128 can be formed from the same material or comprise different materials.

In 18A und 18B ist eine Maske 134 über der Dielektrikumschicht 128 gebildet. Die Maske 134 kann aus einem Photolack gebildet sein, wie etwa einem einschichtigen Photolack, einem zweischichtigen Photolack, einem dreischichtigen Photolack oder dergleichen. In einigen Ausführungsformen ist die Maske 134 eine dreischichtige Maske, die eine untere Schicht (z. B. eine untere Antireflexionsbeschichtungsschicht (BARC-Schicht)), eine mittlere Schicht (z. B. ein Nitrid, ein Oxid, ein Oxynitrid oder dergleichen) und eine obere Schicht (z. B. ein Photolack) umfasst. Die Art der verwendeten Maske (z. B. einschichtige Maske, zweischichtige Maske, dreischichtige Maske usw.) kann von dem Photolithographieprozess abhängen, der verwendet wird, die Maske 134 nachfolgend zu strukturieren. Beispielsweise kann bei Extrem-Ultraviolett-Lithographieprozessen (EUV-Lithographieprozessen) die Maske 134 eine Einzelschichtmaske oder eine Zweischichtmaske sein. Die Maske 134 kann durch Spincoating, einen Abscheidungsprozess wie etwa CVD, Kombinationen daraus oder dergleichen gebildet werden. Die Maske 134 kann unter Verwendung annehmbarer Photolithographietechniken strukturiert werden, um die Öffnungen zu bilden, die eine Struktur nachfolgend gebildeter Source-/Drain-Kontakte aufweisen.In 18A and 18B is a mask 134 over the dielectric layer 128 educated. The mask 134 may be formed from a photoresist such as a single-layer photoresist, a two-layer photoresist, a three-layer photoresist, or the like. In some embodiments, the mask is 134 a three-layer mask comprising a lower layer (e.g. a lower anti-reflective coating (BARC) layer), a middle layer (e.g. a nitride, an oxide, an oxynitride, or the like), and an upper layer (e.g. . A photoresist). The type of mask used (e.g., single-layer mask, two-layer mask, three-layer mask, etc.) may depend on the photolithography process that is used, the mask 134 to be structured below. For example, in extreme ultraviolet lithography processes (EUV lithography processes), the mask 134 be a single-layer mask or a two-layer mask. The mask 134 can be formed by spin coating, a deposition process such as CVD, combinations thereof, or the like. The mask 134 can be patterned using acceptable photolithography techniques to form the openings having a pattern of subsequently formed source / drain contacts.

Source-/Drain-Kontaktöffnungen 136 sind durch die Dielektrikumschicht 128, die Finnen 54, die epitaktischen Source-/Drain-Regionen 92P und die Metallhalbleiterlegierungsregionen 108 gebildet. Die Source-/Drain-Kontaktöffnungen 136 können anfänglich unter Verwendung akzeptabler Photolithographie und Ätztechniken in dem Dielektrikumschicht 128 gebildet sein, wie etwa mit einem Ätzprozess, der selektiv für die Dielektrikumschicht 128 ist (z. B. das Material der Dielektrikumschicht 128 schneller ätzt als das Material der Finnen 54), wobei die Maske 134 als Ätzmaske verwendet wird. Beispielsweise können die Source-/Drain-Kontaktöffnungen 136 anfänglich durch ein Trockenätzen unter Verwendung von Ammoniak (NH3) und Wasserstofffluoridgas (HF-Gas) durch die Dielektrikumschicht 128 gebildet sein. Die Source-/Drain-Kontaktöffnungen 136 werden dann unter Verwendung akzeptabler Photolithographie und Ätztechniken durch die Finnen 54 erweitert, wie etwa mit einem Ätzprozess, der selektiv für die Finnen 54 ist (z. B. das Material der Finnen 54 schneller ätzt als das Material der Finnen 92). Beispielsweise können die Source-/Drain-Kontaktöffnungen 136 durch ein Trockenätzen unter Verwendung eines fluorbasierten Gases wie Wasserstofffluoridgas (HF-Gas) durch die Finnen 54 erstreckt werden. Die Source-/Drain-Kontaktöffnungen 136 werden dann durch die epitaktischen Source-/Drain-Regionen 92P und die Metallhalbleiterlegierungsregionen 108 erstreckt, sodass sich die Source-/Drain-Kontaktöffnungen 136 in die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P erstrecken und die ersten Source-/Drain-Kontakte 112A offenlegen. Beispielsweise können die Source-/Drain-Kontaktöffnungen 136 durch ein Trockenätzen unter Verwendung von Chlorgas (Cl2-Gas), Wasserstoffbromidgas (HBr-Gas) und Sauerstoffgas (O2-Gas) durch die epitaktischen Source-/Drain-Regionen 92P und die Metallhalbleiterlegierungsregionen 108 erstreckt werden. In der illustrierten Ausführungsform tritt im Wesentlichen kein Ätzen der ersten Source-/Drain-Kontakte 112A auf, wenn die Source-/Drain-Kontaktöffnungen 136 strukturiert werden. In einer anderen Ausführungsform können sich die Source-/Drain-Kontaktöffnungen 136 auch in die ersten Source-/Drain-Kontakte 112A erstrecken.Source / drain contact openings 136 are through the dielectric layer 128 , Finns 54 , the epitaxial source / drain regions 92P and the metal semiconductor alloy regions 108 educated. The source / drain contact openings 136 can be done initially using acceptable photolithography and etching techniques in the dielectric layer 128 be formed, such as with an etching process that is selective to the dielectric layer 128 (e.g. the material of the dielectric layer 128 etches faster than the material used in the fins 54 ), with the mask 134 is used as an etching mask. For example, the source / drain contact openings 136 initially by dry etching using ammonia (NH 3 ) and hydrogen fluoride gas (HF gas) through the dielectric layer 128 be educated. The source / drain contact openings 136 are then passed through the fins using acceptable photolithography and etching techniques 54 expanded, such as with an etching process that is selective for the fins 54 is (e.g. the material of the Finns 54 etches faster than the material used in the fins 92 ). For example, the source / drain contact openings 136 by dry etching using a fluorine-based gas such as hydrogen fluoride (HF) gas through the fins 54 be extended. The source / drain contact openings 136 are then through the epitaxial source / drain regions 92P and the metal semiconductor alloy regions 108 extends so that the source / drain contact openings 136 into the lower portions of the epitaxial source / drain regions 92P extend and the first source / drain contacts 112A disclose. For example, the source / drain contact openings 136 by dry etching using chlorine gas (Cl2 gas), hydrogen bromide gas (HBr gas) and oxygen gas (O 2 gas) through the epitaxial source / drain regions 92P and the metal semiconductor alloy regions 108 be extended. In the illustrated embodiment, there is essentially no etching of the first source / drain contacts 112A on when the source / drain contact openings 136 be structured. In another embodiment, the source / drain contact openings can be 136 also in the first source / drain contacts 112A extend.

Die Maske 134 kann während oder nach dem Strukturieren der Source-/Drain-Kontaktöffnungen 136 entfernt werden. Beispielsweise kann die Maske 134 durch die Ätzprozesse entfernt werden, die verwendet werden, die Dielektrikumschicht 128, die Finnen 54, die epitaktischen Source-/Drain-Regionen 92P oder die Metallhalbleiterlegierungsregionen 108 zu strukturieren. In einer anderen Ausführungsform wird die Maske 134 nach der Strukturierung der Source-/Drain-Kontaktöffnungen 136 entfernt, wie etwa durch einen annehmbaren Aschenprozess.The mask 134 can during or after the patterning of the source / drain contact openings 136 removed. For example, the mask 134 by the etching processes that are used, the dielectric layer is removed 128 , Finns 54 , the epitaxial source / drain regions 92P or the metal semiconductor alloy regions 108 to structure. In another embodiment, the mask 134 after structuring the source / drain contact openings 136 removed, such as by an acceptable ash process.

Nach dem Bilden erstrecken sich die Source-/Drain-Kontaktöffnungen 136 um eine Distanz D3 in die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P. In einigen Ausführungsformen beträgt die Distanz D3 etwa die Hälfte der Höhe H1 (siehe 7B) der epitaktischen Source-/Drain-Regionen 92P. Die Summe der Distanzen D2 (siehe 11B) und D3 entspricht der Höhe H1.In anderen Worten, die Distanzen D2 und D3 können gleich sein. In einer anderen Ausführungsform sind die Distanzen D2 und D3 unterschiedlich; beispielsweise kann die Distanz D2 größer oder kleiner als die Distanz D3 sein. Zeitgebundene Ätzprozesse können verwendet werden, um das Ätzen der Source-/Drain-Kontaktöffnungen 136 nach dem Erweitern der Source-/Drain-Kontaktöffnungen 136 auf die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P um einen gewünschten Abstand D3 zu erweitern. Wenn beispielsweise die oben beschriebenen Ätzmittel verwendet werden, die epitaktischen Source-/Drain-Regionen 92P zu ätzen, kann das Ätzen für eine Dauer im Bereich von ca. 50 Sekunden bis ca. 200 Sekunden ausgeführt werden, die die Source-/Drain-Kontaktöffnungen 136 veranlassen können, sich um einen Abstand D3 im Bereich von ca. 15 nm bis ca. 60 nm in die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P zu erstrecken.Once formed, the source / drain contact openings extend 136 by a distance D 3 into the lower portions of the epitaxial source / drain regions 92P . In some embodiments, the distance D 3 is approximately half the height H 1 (see FIG 7B) of the epitaxial source / drain regions 92P . The sum of the distances D 2 (see 11B) and D 3 corresponds to the height H 1. In other words, the distances D 2 and D 3 can be the same. In another embodiment, the distances D 2 and D 3 are different; for example, the distance D 2 can be greater or smaller than the distance D 3 . Timed etching processes can be used to etch the source / drain contact openings 136 after widening the source / drain contact openings 136 on the lower portions of the epitaxial source / drain regions 92P to expand a desired distance D 3 . For example, if the etchants described above are used, the epitaxial source / drain regions 92P To etch, the etching can be carried out for a duration in the range from about 50 seconds to about 200 seconds, covering the source / drain contact openings 136 can cause a distance D 3 in the range from about 15 nm to about 60 nm into the lower sections of the epitaxial source / drain regions 92P to extend.

In 19A und 19B sind Metallhalbleiterlegierungsregionen 138 in den Source-/Drain-Kontaktöffnungen 136 gebildet, wie etwa an Abschnitten der epitaktischen Source-/Drain-Regionen 92P, die durch die Source-/Drain-Kontaktöffnungen 136 offengelegt sind. Die Metallhalbleiterlegierungsregionen 138 können Silizidregionen sein, die aus einem Metallsilizid (z. B. Titansilizid, Kobaltsilizid, Nickelsilizid usw.) gebildet sind, Germanidregionen, die aus einem Metallgermanid (z. B. Titangermanid, Kobaltgermanid, Nickelgermanid usw.) gebildet sind, Siliziumgermanidregionen, die gleichermaßen aus einem Metallsilizid und einem Metallgermanid gebildet sind, oder dergleichen. Die Metallhalbleiterlegierungsregionen 138 können durch Abscheiden eines Metalls in den Source-/Drain-Kontaktöffnungen 136 und dann Ausführen eines Wärmetemperprozesses gebildet sein. Das Metall kann jedes Metall sein, das in der Lage ist, mit den Halbleitermaterialien (z. B. Silizium, Siliziumgermanium, Germanium usw.) der epitaktischen Source-/Drain-Regionen 92P zu reagieren, um eine Metallhalbleiterlegierung mit geringem Widerstand zu bilden, wie etwa Nickel, Kobalt, Titan, Tantal, Platin, Wolfram oder andere Edelmetalle, andere hochschmelzende Metalle, seltene Erdmetalle oder deren Legierungen. Ein Metall kann durch einen Abscheidungsprozess wie etwa ALD, CVD, PVD oder dergleichen abgeschieden werden. In einer Ausführungsform sind die Metallhalbleiterlegierungsregionen 138 Silizidregionen, die aus Titansilizium gebildet sind. In 19A and 19B are metal semiconductor alloy regions 138 in the source / drain contact openings 136 such as at portions of the source / drain epitaxial regions 92P passing through the source / drain contact openings 136 are disclosed. The metal semiconductor alloy regions 138 may be silicide regions formed from a metal silicide (e.g. titanium silicide, cobalt silicide, nickel silicide, etc.), germanide regions formed from a metal germanide (e.g. titanium germanide, cobalt germanide, nickel germanide, etc.), silicon germanide regions, the same are formed from a metal silicide and a metal germanide, or the like. The metal semiconductor alloy regions 138 can be achieved by depositing a metal in the source / drain contact openings 136 and then performing a heat annealing process. The metal can be any metal capable of interfering with the semiconductor materials (e.g., silicon, silicon germanium, germanium, etc.) of the epitaxial source / drain regions 92P react to form a low resistance metal semiconductor alloy such as nickel, cobalt, titanium, tantalum, platinum, tungsten or other precious metals, other refractory metals, rare earth metals, or their alloys. A metal can be deposited by a deposition process such as ALD, CVD, PVD, or the like. In one embodiment, the metal semiconductor alloy regions are 138 Silicide regions formed from titanium silicon.

Nach dem thermischen Temperprozess kann ein Reinigungsprozess, wie etwa eine Nassreinigung, ausgeführt werden, um Restmetall von den Source-/Drain-Kontaktöffnungen 136 zu entfernen, wie etwa von Flächen der ersten Source-/Drain-Kontakte 112A und der STI-Regionen 60. Auch wenn die Metallhalbleiterlegierungsregionen 108, 138 als getrennte Regionen dargestellt sind, sollte beachtet werden, dass in einigen Ausführungsformen die Metallhalbleiterlegierungsregionen 108, 138 während des Bildens verschmelzen, sodass keine unterscheidbare Schnittstelle zwischen ihnen besteht.After the thermal anneal process, a cleaning process, such as wet cleaning, can be performed to remove residual metal from the source / drain contact openings 136 such as from areas of the first source / drain contacts 112A and the STI regions 60 . Even if the metal semiconductor alloy regions 108 , 138 are shown as separate regions, it should be noted that, in some embodiments, the metal semiconductor alloy regions 108 , 138 merge as they are formed so that there is no distinguishable interface between them.

Dritte Source-/Drain-Kontakte 112C sind in den Source-/Drain-Kontaktöffnungen 136 gebildet. Eine Auskleidung, wie etwa eine Diffusionsbarriereschicht, eine Klebeschicht oder dergleichen und ein leitfähiges Material sind in den Source-/Drain-Kontaktöffnungen 136 gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. Die Auskleidung kann durch einen konformen Abscheidungsprozess abgeschieden werden, wie etwa eine Atomlagenabscheidung (ALD), chemische Gasphasenabscheidung (CVD), physische Gasphasenabscheidung (PVD) oder dergleichen. In einigen Ausführungsformen kann die Auskleidung eine Klebeschicht umfassen und mindestens ein Abschnitt der Klebeschicht kann behandelt sein, eine Diffusionsbarriereschicht zu bilden. Das leitfähige Material kann Wolfram, Kobalt, Ruthenium, Aluminium, Nickel, Kupfer, eine Kupferlegierung, Silber, Gold oder dergleichen sein. Das leitfähige Material kann durch ALD, CVD, PVD oder abgeschieden sein. Ein Planarisierungsprozess, wie etwa ein CMP, kann durchgeführt werden, um überschüssiges Material von der oberen Fläche der Dielektrikumschicht 128 zu entfernen. Die verbleibende Auskleidung und das leitfähige Material in den Source-/Drain-Kontaktöffnungen 136 bildet die dritten Source-/Drain-Kontakte 112C. Die dritten Source-/Drain-Kontakte 112C sind physisch und elektrisch mit den ersten Source-/Drain-Kontakte 112A gekoppelt. Die oberen Flächen der dritten Source-/Drain-Kontakte 112C, der Dielektrikumschicht 128 sind koplanar (innerhalb der Prozessvariationen).Third source / drain contacts 112C are in the source / drain contact openings 136 educated. A liner, such as a diffusion barrier layer, an adhesive layer or the like, and a conductive material are in the source / drain contact openings 136 educated. The liner can comprise titanium, titanium nitride, tantalum, tantalum nitride, or the like. The liner can be deposited by a conformal deposition process such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), or the like. In some embodiments, the liner can include an adhesive layer and at least a portion of the adhesive layer can be treated to form a diffusion barrier layer. The conductive material can be tungsten, cobalt, ruthenium, aluminum, nickel, copper, a copper alloy, silver, gold or the like. The conductive material can be deposited by ALD, CVD, PVD or. A planarization process, such as a CMP, can be performed to remove excess material from the top surface of the dielectric layer 128 to remove. The remaining liner and conductive material in the source / drain contact openings 136 forms the third source / drain contacts 112C . The third source / drain contacts 112C are physically and electrically connected to the first source / drain contacts 112A coupled. The top surfaces of the third source / drain contacts 112C , the dielectric layer 128 are coplanar (within the process variations).

Nach dem Bilden weisen die dritten Source-/Drain-Kontakte 112C ähnliche Abmessungen auf wie die Source-/Drain-Kontaktöffnungen 136. Die dritten Source-/Drain-Kontakte 112C erstrecken sich um den Abstand D3 (siehe 18B) in die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92 und weisen eine Höhe H3 auf. Die Höhe H 3 kann im Bereich von ca. 25 nm bis ca. 70 nm liegen. In Ausführungsformen, in denen die Distanz D3 etwa die Hälfte der Höhe H1 beträgt (siehe 7B), ist die Höhe H3 mehr als etwa die Hälfte der Höhe H1.After forming, the third have source / drain contacts 112C similar dimensions as the source / drain contact openings 136 . The third source / drain contacts 112C extend by the distance D 3 (see 18B) into the lower portions of the epitaxial source / drain regions 92 and have a height H 3 . The height H 3 can be in the range from approx. 25 nm to approx. 70 nm. In embodiments in which the distance D 3 is approximately half the height H 1 (see 7B) , the height H 3 is more than about half the height H 1 .

In 20 sind eine Dielektrikumschicht 142 und leitfähige Elemente 144 über der Dielektrikumschicht 128 und den dritten Source-/Drain-Kontakten 112C gebildet. Die Dielektrikumschicht 142 und die leitfähigen Elemente 144 sind auch Teil einer Zwischenverbindungsstruktur, die auf der Vorrichtungsschicht 120 gebildet ist. Die Dielektrikumschicht 142 kann aus einem Material gebildet sein, das aus derselben Gruppe von Kandidatenmaterialien der ersten ILD 96 gebildet ist und kann unter Verwendung eines Verfahrens abgeschieden sein, das aus derselben Gruppe Kandidatenverfahren gewählt ist, um die erste ILD 96 abzuscheiden. Die erste ILD 96 und die Dielektrikumschicht 142 können aus demselben Material gebildet sein oder andere Materialien umfassen.In 20th are a dielectric layer 142 and conductive elements 144 over the dielectric layer 128 and the third source / drain contacts 112C educated. The dielectric layer 142 and the conductive elements 144 are also part of an interconnect structure that resides on the device layer 120 is formed. The dielectric layer 142 may be formed from a material selected from the same group of candidate materials of the first ILD 96 is formed and may be deposited using a method selected from the same group of candidate methods to produce the first ILD 96 to be deposited. The first ILD 96 and the dielectric layer 142 can be formed from the same material or comprise different materials.

Die leitfähigen Elemente 144 sind in der Dielektrikumschicht 142 gebildet und können leitfähige Leitungen sein. Bilden der leitfähigen Elemente 144 kann beispielsweise Strukturieren von Ausschnitten in der Dielektrikumschicht 142 unter Verwendung einer Kombination aus Photolithographie und Ätzprozessen umfassen. Eine Struktur der Ausschnitte in der Dielektrikumschicht 142 kann einer Struktur der leitfähigen Elemente 144 entsprechen. Die leitfähigen Elemente 144 sind dann durch Abscheiden eines leitfähigen Materials in den Ausschnitten gebildet. In einigen Ausführungsformen umfassen die leitfähigen Elemente 144 eine Metallschicht, die eine einzige Schicht oder eine zusammengesetzte Schicht sein kann, die mehrere Unterschichten umfasst, die aus verschiedenen Materialien gebildet sind. In einigen Ausführungsformen umfassen die leitfähigen Elemente 144 Kupfer, Aluminium, Kobalt, Wolfram, Titan, Tantal, Ruthenium oder dergleichen. Eine optionale Diffusionsbarriere und/oder optionale Klebeschicht kann vor dem Füllen der Ausschnitte mit einem leitfähigen Material gefüllt werden. Geeignete Materialien für die Barriereschicht/Klebeschicht umfassen Titan, Titannitrid, Titanoxid, Tantal, Tantalnitrid, Titanoxid oder dergleichen. Die leitfähigen Elemente 144 können unter Verwendung von beispielsweise CVD, ALD, PVD, Plattierung oder dergleichen gebildet sein. Die leitfähigen Elemente 144 sind elektrisch durch die dritten Source-/Drain-Kontakte 112C und die Metallhalbleiterlegierungsregionen 138 mit den epitaktischen Source-/Drain-Regionen 92P verbunden. Ein Planarisierungsprozess (z. B. CMP, Schleifen, Rückätzen oder dergleichen) kann ausgeführt werden, um überschüssige Abschnitte der leitfähigen Elemente 144 zu entfernen, die über der Dielektrikumschicht 142 gebildet sind.The conductive elements 144 are in the dielectric layer 142 formed and can be conductive lines. Forming the conductive elements 144 can, for example, structure cutouts in the dielectric layer 142 using a combination of photolithography and etching processes. A structure of the cutouts in the dielectric layer 142 can be a structure of conductive elements 144 correspond. The conductive elements 144 are then formed by depositing a conductive material in the cutouts. In some embodiments, the conductive elements comprise 144 a metal layer, which can be a single layer or a composite layer comprising multiple sublayers formed from different materials. In some embodiments, the conductive elements comprise 144 Copper, aluminum, cobalt, tungsten, titanium, tantalum, ruthenium or the like. An optional diffusion barrier and / or optional adhesive layer can be filled with a conductive material prior to filling the cutouts. Suitable materials for the barrier layer / adhesive layer include titanium, titanium nitride, titanium oxide, tantalum, tantalum nitride, titanium oxide or the like. The conductive elements 144 can be formed using, for example, CVD, ALD, PVD, plating, or the like. The conductive elements 144 are electrical through the third source / drain contacts 112C and the metal semiconductor alloy regions 138 with the epitaxial source / drain regions 92P tied together. A planarization process (e.g., CMP, grinding, etch back, or the like) can be performed to remove excess portions of the conductive elements 144 to remove that over the dielectric layer 142 are formed.

Einige oder alle der leitfähigen Elemente 144 sind Stromschienen 144P, die leitfähige Leitungen sind, die die epitaktischen Source-/Drain-Regionen 92P elektrisch mit einer Referenzspannung, Versorgungsspannung oder dergleichen verbinden. Durch Platzierung der Stromschienen 144P an einer Rückseite der Vorrichtungsschicht 120 statt an einer Vorderseite der Vorrichtungsschicht 120 können Vorteile erreicht werden. Beispielsweise kann eine Gatedichte der Nano-FETs und/oder eine Zwischenverbindungsdichte der Zwischenverbindungsstruktur 122 erhöht werden. Ferner kann die Rückseite der Vorrichtungsschicht 120 breitere Stromschienen aufnehmen den Widerstand verringern und die Effizienz der Stromabgabe an die Nano-FETs erhöhen. Beispielsweise kann eine Breite der leitfähigen Elemente 144 mindestens zweimal die Breite einer leitfähigen Leitung der ersten Ebene (z. B. leitfähige Leitung 124A in 15) der Zwischenverbindungsstruktur 122 aufweisen.Some or all of the conductive elements 144 are busbars 144P , which are conductive lines that form the epitaxial source / drain regions 92P electrically connect to a reference voltage, supply voltage or the like. By placing the power rails 144P on a back side of the device layer 120 rather than a front side of the device layer 120 benefits can be achieved. For example, a gate density of the nano-FETs and / or an interconnection density of the interconnection structure 122 increase. Furthermore, the back side of the device layer 120 wider bus bars accommodate lower resistance and increase the efficiency of current delivery to the nano-FETs. For example, a width of the conductive elements 144 at least twice the width of a conductive line of the first level (e.g. conductive line 124A in 15th ) the interconnection structure 122 exhibit.

Die erste Source-/Drain-Kontakte 112A und die dritten Source-/Drain-Kontakte 112C bilden leitfähige Durchkontaktierungen 148, die als Stromschienendurchkontaktierungen bezeichnet werden können. Jede Stromschienendurchkontaktierung umfasst einen ersten Source-/Drain-Kontakt 112A und einen dritten Source-/Drain-Kontakt 112C. Die Gesamthöhe der leitfähigen Durchkontaktierungen 148 entspricht der Summe der Höhe H2 (siehe 12B) und H3 (siehe 19B). Die leitfähigen Durchkontaktierungen 148 erstrecken sich durch die Vorrichtungsschicht 120 und verbinden die leitfähigen Elemente der Zwischenverbindungsstruktur 122 (z. B. Metallisierungsstrukturen) mit den leitfähigen Elementen der Zwischenverbindungsstruktur 150 (z. B. Stromschienen 144P). Die Referenzspannung, Versorgungsspannung usw. kann so elektrisch mit den epitaktischen Source-/Drain-Regionen 92P und der Zwischenverbindungsstruktur 122 gleichermaßen durch die leitfähigen Durchkontaktierungen 148 verbunden sein. Verbinden der Stromschienen 144P mit der Zwischenverbindungsstruktur 122 durch leitfähige Elemente (z. B. die leitfähigen Durchkontaktierungen 148) statt durch Halbleiterelemente (z. B. der epitaktischen Source-/Drain-Regionen 92P) kann Vorteile erreichen. Beispielsweise weisen leitfähigen Elemente einen geringeren Widerstand auf als Halbleiterelemente, die das Verbessern der Leistung einer örtlichen Zwischenverbindung zwischen den Zwischenverbindungsstrukturen 122, 150 erlauben. Ferner sind die leitfähigen Durchkontaktierungen 148 auch physisch und elektrisch mit den epitaktischen Source-/Drain-Regionen 92P gekoppelt, wo eine Stromschienenverbindung gewünscht ist. In dieser Ausführungsform umgeben die Metallhalbleiterlegierungsregionen 108, 138 die Abschnitte der leitfähigen Durchkontaktierungen 148, die sich durch die epitaktische Source-/Drain-Region 92P erstrecken, und sind damit gekoppelt. Da sich die leitfähigen Durchkontaktierungen 148 durch die epitaktischen Source-/Drain-Regionen 92P erstrecken, können Spannungsabfälle über das epitaktische Material der epitaktischen Source-/Drain-Regionen 92P so während des Betriebs vermieden werden, wodurch die parasitische Kapazität der Nano-FETs verringert wird. Schließlich können dieselben leitfähigen Elemente verwendet werden, um die epitaktischen Source-/Drain-Regionen 92P mit dem Stromschienen 144P zu verbinden und örtliche Zwischenverbindungen zwischen den Zwischenverbindungsstrukturen 122, 150 herzustellen, was die Menge der leitfähigen Elemente in der Zwischenverbindungsstruktur 150 verringert.The first source / drain contacts 112A and the third source / drain contacts 112C form conductive vias 148 which can be referred to as busbar vias. Each busbar via includes a first source / drain contact 112A and a third source / drain contact 112C . The total height of the conductive vias 148 corresponds to the sum of the height H 2 (see 12B) and H 3 (see 19B) . The conductive vias 148 extend through the device layer 120 and connect the conductive elements of the interconnection structure 122 (e.g. metallization structures) with the conductive elements of the interconnection structure 150 (e.g. busbars 144P ). The reference voltage, supply voltage, etc. can thus be electrically connected to the epitaxial source / drain regions 92P and the interconnection structure 122 equally through the conductive vias 148 be connected. Connect the busbars 144P with the interconnection structure 122 by conductive elements (e.g. the conductive vias 148 ) instead of through semiconductor elements (e.g. the epitaxial source / drain regions 92P ) can achieve benefits. For example, conductive elements have a lower resistance than semiconductor elements, which improve the performance of a local interconnection between the interconnection structures 122 , 150 allow. Furthermore, the conductive vias are 148 also physically and electrically with the epitaxial source / drain regions 92P coupled where a busbar connection is desired. In this embodiment, the metal semiconductor alloy regions surround 108 , 138 the portions of the conductive vias 148 that extends through the epitaxial source / drain region 92P extend, and are coupled with it. As the conductive vias 148 through the epitaxial source / drain regions 92P can extend voltage drops across the epitaxial material of the epitaxial source / drain regions 92P can thus be avoided during operation, whereby the parasitic capacitance of the nano-FETs is reduced. Finally, the same conductive elements can be used to make the source / drain epitaxial regions 92P with the busbars 144P to connect and local interconnections between the interconnection structures 122 , 150 Establish what the amount of conductive elements in the interconnect structure 150 decreased.

In 21 werden verbleibende Abschnitte einer Zwischenverbindungsstruktur 150 an einer Rückseite der Vorrichtungsschicht 120 gebildet, wie etwa über der Dielektrikumschicht 142 und den leitfähigen Elementen 144. Die Zwischenverbindungsstruktur 150 kann auch als eine rückseitige Zwischenverbindungsstruktur bezeichnet werden, weil sie an einer Rückseite der Vorrichtungsschicht 120 gebildet ist. Die verbleibenden Abschnitte der Zwischenverbindungsstruktur 150 können ähnlich wie die Zwischenverbindungsstruktur 122 sein. Beispielsweise kann die Zwischenverbindungsstruktur 150 ähnliche Materialien umfassen und unter Verwendung ähnlicher Prozesse gebildet sein, wie die Zwischenverbindungsstruktur 122. Insbesondere kann die Zwischenverbindungsstruktur 150 gestapelte Schichten von leitfähigen Elementen 154 umfassen, die in gestapelten Dielektrikumschichten 152 gebildet sind. Die leitfähigen Elemente 154 können Routingleitungen (z. B. zum Routen an und von nachfolgend gebildeten Kontaktpads und externen Verbindern) umfassen. Die leitfähigen Elemente 154 können ferner leitfähige Durchkontaktierungen umfassen, die sich in den Dielektrikumschichten 152 erstrecken, um eine vertikale Zwischenverbindung zwischen gestapelten Schichten der leitfähigen Leitungen bereitzustellen. Die Zwischenverbindungsstruktur 150 umfasst so die Dielektrikumschichten 128, 142, 152 und die leitfähigen Elemente 144, 154.In 21 become remaining portions of an interconnection structure 150 on a back side of the device layer 120 such as over the dielectric layer 142 and the conductive elements 144 . The interconnection structure 150 may also be referred to as a back interconnect structure because it is on a back side of the device layer 120 is formed. The remaining sections of the interconnect structure 150 can be similar to the interconnection structure 122 be. For example, the interconnection structure 150 comprise similar materials and be formed using similar processes as the interconnect structure 122 . In particular, the interconnection structure 150 stacked layers of conductive elements 154 include those in stacked dielectric layers 152 are formed. The conductive elements 154 may include routing lines (e.g. for routing to and from subsequently formed contact pads and external connectors). The conductive elements 154 may further include conductive vias located in the dielectric layers 152 extend to provide vertical interconnection between stacked layers of the conductive lines. The interconnection structure 150 thus includes the dielectric layers 128 , 142 , 152 and the conductive elements 144 , 154 .

In einigen Ausführungsformen können die leitfähigen Elemente der Zwischenverbindungsstruktur 150 ferner strukturiert sein, eine oder mehrere eingebettete passive Vorrichtungen, wie etwa Widerstände, Kondensatoren, Induktoren oder dergleichen, in der Zwischenverbindungsstruktur 150 zu umfassen. Die eingebetteten passiven Vorrichtungen können mit den leitfähigen Elementen 144, 154 (z. B. den Stromschienen 144P) integriert sein, um Schaltungen (z. B. Leistungsschaltungen) an der Rückseite der Vorrichtungsschicht 120 bereitzustellen.In some embodiments, the conductive elements of the interconnect structure 150 further structured, one or more embedded passive devices, such as resistors, capacitors, inductors, or the like, in the interconnect structure 150 to include. The embedded passive devices can be connected to the conductive elements 144 , 154 (e.g. the busbars 144P ) be integrated to circuits (e.g. power circuits) at the rear of the device layer 120 provide.

In 22 sind eine Passivierungsschicht 160, UBMs 162, und externe Verbinder 164 über der Zwischenverbindungsstruktur 150 gebildet. Die Passivierungsschicht 160 kann Polymere wie PBO, Polyimid, BCB oder dergleichen umfassen. Alternativ dazu kann die Passivierungsschicht 160 nichtorganische Dielektrika umfassen, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumkarbid, Siliziumoxynitrid oder dergleichen. Die Passivierungsschicht 160 kann beispielsweise durch CVD, PVD, ALD oder dergleichen abgeschieden werden.In 22nd are a passivation layer 160 , UBMs 162 , and external connectors 164 above the interconnection structure 150 educated. The passivation layer 160 can include polymers such as PBO, polyimide, BCB, or the like. Alternatively, the passivation layer 160 inorganic dielectrics include such as silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, or the like. The passivation layer 160 can for example be deposited by CVD, PVD, ALD or the like.

Die UBMs 162 sind durch die Passivierungsschicht 160 auf die leitfähigen Elemente 154 der Zwischenverbindungsstruktur 150 gebildet und die externen Verbinder 164 sind auf den UBMs 162 gebildet. Die UBMs 162 können eine oder mehrere Schichten aus Kupfer, Nickel, Gold oder dergleichen umfassen, die durch einen Plattierungsprozess oder dergleichen gebildet sind. Die externen Verbinder 164 (z. B. Lötkugeln) sind auf den UBMs 162 gebildet. Das Bilden der externen Verbinder 164 kann das Platzieren von Lötkugeln auf den offengelegten Abschnitten der UBMs 162 und dann das Aufschmelzen der Lötkugeln umfassen. In alternativen Ausführungsformen umfasst das Bilden der externen Verbinder 164 das Ausführen eines Plattierungsschritts zum Bilden von Lötregionen über dem obersten leitfähigen Element 154 und dann ein Aufschmelzen der Lötregionen. In einer anderen Ausführungsform sind die externen Verbinder 164 Metallverbinder mit im Wesentlichen vertikalen Seitenwänden wie Mikrobumps. Die UBMs 162 und die externen Verbinder 164 können verwendet werden, um Eingabe-/Ausgabeverbindungen mit anderen elektrischen Komponenten bereitzustellen, wie etwa andere Vorrichtungsmatrizen, Umverteilungsstrukturen, Leiterplatten (PCBs), Hauptplatinen oder dergleichen. Die UBMs 162 und die externen Verbinder 164 können auch als Rückseiteneingangs-/-ausgangspads bezeichnet werden, die ein Signal, eine Referenzspannung, eine Versorgungsspannung und/oder Erdungsverbindungen mit den Nano-FETs der Vorrichtungsschicht 120 bereitstellen können.The UBMs 162 are through the passivation layer 160 on the conductive elements 154 the interconnection structure 150 formed and the external connector 164 are on the UBMs 162 educated. The UBMs 162 may include one or more layers of copper, nickel, gold, or the like formed by a plating process or the like. The external connectors 164 (e.g. solder balls) are on the UBMs 162 educated. Forming the external connectors 164 may include placing solder balls on the exposed sections of the UBMs 162 and then include reflowing the solder balls. In alternative embodiments, this includes forming the external connectors 164 performing a plating step to form solder regions over the top conductive member 154 and then a melting of the soldering regions. In another embodiment the external connectors are 164 Metal connectors with essentially vertical side walls like microbumps. The UBMs 162 and the external connectors 164 can be used to provide input / output connections to other electrical components, such as other device arrays, redistribution structures, printed circuit boards (PCBs), motherboards, or the like. The UBMs 162 and the external connectors 164 may also be referred to as rear input / output pads that provide a signal, reference voltage, supply voltage, and / or ground connections to the nano-FETs of the device layer 120 can provide.

23A bis 31 sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von Halbleitervorrichtungen nach einigen anderen Ausführungsformen. Speziell ist die Herstellung von vorderseitigen und rückseitigen Zwischenverbindungsstrukturen für Nano-FETs illustriert. 23A, 24A, 25A, 26A, 27A, 28A, 29A und 30A sind dreidimensionale Ansichten, die eine ähnliche dreidimensionale Ansicht wie 1 zeigen, wobei jedoch zwei Gatestrukturen und zwei Finnen gezeigt sind. 23B, 24B, 25B, 26B, 27B, 28B, 29B, 30B und 31 sind Querschnittsansichten, die entlang des Referenzquerschnitts A-A in 1 illustrieren. 23A, 24A, 25A, 26A, 27A, 28A, 29A und 30A sind vereinfachte dreidimensionale Ansichten und zeigen nicht alle Elemente der entsprechenden 23B, 24B, 25B, 26B, 27B, 28B, 29B und 30B. 23B, 24B, 25B, 26B, 27B, 28B, 29B, 30B und 31 können für die n-Region 50N und die p-Region 50P gelten. 23A until 31 FIG. 13 are various views of intermediate stages in the manufacture of semiconductor devices in accordance with some other embodiments. Specifically, the fabrication of front and rear interconnect structures for nano-FETs is illustrated. 23A , 24A , 25A , 26A , 27A , 28A , 29A and 30A are three-dimensional views that have a similar three-dimensional view as 1 show, however, two gate structures and two fins are shown. 23B , 24B , 25B , 26B , 27B , 28B , 29B , 30B and 31 are cross-sectional views taken along the reference cross-section AA in FIG 1 illustrate. 23A , 24A , 25A , 26A , 27A , 28A , 29A and 30A are simplified three-dimensional views and do not show all elements of the corresponding 23B , 24B , 25B , 26B , 27B , 28B , 29B and 30B . 23B , 24B , 25B , 26B , 27B , 28B , 29B , 30B and 31 can for the n region 50N and the p region 50P are valid.

Unterschiede (wenn vorhanden) der Strukturen der n-Region 50N und der p-Region 50P werden in dem Text beschrieben, der jeder Figur beiliegt.Differences (if any) in the structures of the n-region 50N and the p-region 50P are described in the text accompanying each figure.

In den 23A und 23B wird eine Struktur erhalten, die ähnlich ist wie die mit Verweis auf 10A und 10B beschriebene.In the 23A and 23B a structure similar to that with reference to is obtained 10A and 10B described.

In 24A und 24B werden die eine oder die mehreren Gatestrukturen 100 entfernt und durch Dielektrikumselemente 172 ersetzt. In einigen Ausführungsformen sind die ersetzten Gatestrukturen 100 die Gatestrukturen 100 am Ende einer Speicherzelle, wie etwa die Gatestrukturen 100 an den Enden der Finnen 54. Die ersetzten Gatestrukturen 100 und benachbarte epitaktische Source-/Drain-Regionen 92P, die mit Stromschienen verbunden sind. Die gewünschten Gatestrukturen 100 können mit annehmbarer Photolithographie und Ätztechniken entfernt werden, um Öffnungen zu bilden. Die Dielektrikumselemente 172 werden dann in den Öffnungen gebildet. Die Dielektrikumselemente 172 können aus einem Dielektrikum wie Siliziumoxid, Siliziumnitrid oder dergleichen gebildet sein. Die Dielektrikumselemente 172 können durch Abscheiden des Dielektrikums über den verbleibenden Gatestrukturen 100 und nachfolgendes Planarisieren des Dielektrikums gebildet sein, sodass obere Flächen der Dielektrikumselemente 172 und der verbleibenden Gatestrukturen 100 (innerhalb der Prozessvariationen) koplanar sind. Die Dielektrikumselemente 172 umgeben so die Nanostrukturen 56B, die durch die ersetzten Gatestrukturen 100 umgeben wurden.In 24A and 24B become the one or more gate structures 100 removed and through dielectric elements 172 replaced. In some embodiments, the replaced are gate structures 100 the gate structures 100 at the end of a memory cell, such as the gate structures 100 at the ends of the fins 54 . The replaced gate structures 100 and adjacent source / drain epitaxial regions 92P connected with busbars. The desired gate structures 100 can be removed with acceptable photolithography and etching techniques to form openings. The dielectric elements 172 are then formed in the openings. The dielectric elements 172 can be formed from a dielectric such as silicon oxide, silicon nitride or the like. The dielectric elements 172 can by depositing the dielectric over the remaining gate structures 100 and then planarizing the dielectric so that upper surfaces of the dielectric elements are formed 172 and the remaining gate structures 100 (within the process variations) are coplanar. The dielectric elements 172 thus surround the nanostructures 56B by the replaced gate structures 100 were surrounded.

In 25A und 25B sind Source-/Drain-Kontaktöffnungen 106 durch die erste ILD 96 und die CESL 94 gebildet. In dieser Ausführungsform wird auch ein erster Untersatz der Source-/Drain-Kontaktöffnungen 106P (z. B. denen, die verwendet werden, um Stromschienendurchkontaktierungen zu bilden) durch die Dielektrikumselemente 172, den inneren Abstandhalter 84, den Gateabstandhalter 80 und die zweiten Nanostrukturen 56B gebildet, um Seitenwände der epitaktischen Source-/Drain-Regionen 92P offenzulegen. Ein zweiter Untersatz der Source-/Drain-Kontaktöffnungen 106R (z. B. denen, die nicht verwendet werden, um Stromschienendurchkontaktierungen zu bilden) erstreckt sich nicht durch die Dielektrikumselemente 172, den inneren Abstandhalter 84, den Gateabstandhalter 80 oder die zweiten Nanostrukturen 56B gebildet.In 25A and 25B are source / drain contact openings 106 through the first ILD 96 and the CESL 94 educated. In this embodiment, a first subset of the source / drain contact openings is also used 106P (e.g., those used to form busbar vias) through the dielectric elements 172 , the inner spacer 84 , the gate spacer 80 and the second nanostructures 56B formed around sidewalls of the epitaxial source / drain regions 92P to disclose. A second subset of the source / drain contact openings 106R (e.g., those not used to form busbar vias) does not extend through the dielectric elements 172 , the inner spacer 84 , the gate spacer 80 or the second nanostructures 56B educated.

Die Source-/Drain-Kontaktöffnungen 106R, 106P können durch mehrfache Photolithographie und Ätztechniken gebildet werden. Die Source-/Drain-Kontaktöffnungen 106R, 106P können anfänglich unter Verwendung akzeptabler Photolithographie und Ätztechniken in dem ersten ILD 96 gebildet sein, wie etwa mit einem Ätzprozess, der selektiv für das erste ILD 96 ist (z. B. das Material des ersten ILD 96 schneller ätzt als das Material des CESL 94). Beispielsweise können die Source-/Drain-Kontaktöffnungen 106R, 106P anfänglich durch ein Trockenätzen unter Verwendung von Ammoniak (NH3) und Wasserstofffluoridgas (HF-Gas) durch das erste ILD 96 gebildet sein. Die Source-/Drain-Kontaktöffnungen 106R, 106P werden dann unter Verwendung akzeptabler Photolithographie und Ätztechniken durch die CESL 94 erweitert, wie etwa mit einem Ätzprozess, der selektiv für CESL 94 ist (z. B. das Material des CESL 94 schneller ätzt als das Material der epitaktischen Source-/Drainregionen 92). Beispielsweise können die Source-/Drain-Kontaktöffnungen 106R, 106P mit einem Trockenätzen unter Verwendung eines fluorbasierten Gases (z. B. C4F6) und von Wasserstoff- (H2) oder Sauerstoffgas (02) durch die CESL 94 erstreckt werden. Die Source-/Drain-Kontaktöffnungen 106R werden dann maskiert, wie etwa durch Bilden eines Photolacks über den Source-/Drain-Kontaktöffnungen 106R. Die Source-/Drain-Kontaktöffnungen 106P werden dann unter Verwendung annehmbarer Photolithographie- und Ätztechniken durch die Dielektrikumselemente 172, die inneren Abstandhalter 84, die Gateabstandhalter 80 und die zweiten Nanostrukturen 56B erstreckt, wie etwa mit einem Ätzprozess, der selektiv für die Dielektrikumselemente 172, die inneren Abstandhalter 84, die Gateabstandhalter 80 und die zweiten Nanostrukturen 56B ist (z. B. die Materialien der Dielektrikumselemente 172, die inneren Abstandhalter 84, die Gateabstandhalter 80 und die zweiten Nanostrukturen 56B schneller ätzt als das Material der epitaktischen Source-/Drain-Regionen 92). Beispielsweise können die Source-/Drain-Kontaktöffnungen 106P durch ein Trockenätzen unter Verwendung eines fluorbasierten Gases (z. B. C4F6) und Wasserstoffgas (H2-Gas) oder Sauerstoffgas (O2-Gas) durch die Dielektrikumselemente 172, die inneren Abstandhalter 84, die Gateabstandhalter 80 und die zweiten Nanostrukturen 56B erstreckt werden. Die Source-/Drain-Kontaktöffnungen 106P erstrecken sich möglicherweise nicht in die Finnen 54, wie durch 25A gezeigt, oder können auch gebildet sein, sich in die Finnen 54 zu erstrecken, wie durch 25B gezeigt.The source / drain contact openings 106R , 106P can be formed by multiple photolithography and etching techniques. The source / drain contact openings 106R , 106P can be done initially in the first ILD using acceptable photolithography and etching techniques 96 be formed, such as with an etch process that is selective to the first ILD 96 is (e.g. the material of the first ILD 96 etches faster than the material of the CESL 94 ). For example, the source / drain contact openings 106R , 106P initially by dry etching using ammonia (NH 3 ) and hydrogen fluoride (HF) gas through the first ILD 96 be educated. The source / drain contact openings 106R , 106P are then made by the CESL using acceptable photolithography and etching techniques 94 expanded, such as with an etching process that is selective for CESL 94 is (e.g. the material of the CESL 94 etches faster than the material of the epitaxial source / drain regions 92 ). For example, the source / drain contact openings 106R , 106P with dry etching using a fluorine-based gas (e.g. C 4 F 6 ) and hydrogen ( H2 ) or oxygen gas ( 02 ) through the CESL 94 be extended. The source / drain contact openings 106R are then masked, such as by forming a photoresist over the source / drain contact openings 106R . The source / drain contact openings 106P are then passed through the dielectric elements using acceptable photolithography and etching techniques 172 , the inner spacers 84 who have favourited Gate Spacers 80 and the second nanostructures 56B extends, such as with an etching process that is selective for the dielectric elements 172 , the inner spacers 84 who have favourited Gate Spacers 80 and the second nanostructures 56B (e.g. the materials of the dielectric elements 172 , the inner spacers 84 who have favourited Gate Spacers 80 and the second nanostructures 56B etches faster than the material of the epitaxial source / drain regions 92 ). For example, the source / drain contact openings 106P by dry etching using a fluorine-based gas (e.g., C 4 F 6 ) and hydrogen gas (H2 gas) or oxygen gas (O 2 gas) through the dielectric elements 172 , the inner spacers 84 who have favourited Gate Spacers 80 and the second nanostructures 56B be extended. The source / drain contact openings 106P may not extend into the Finns 54 how by 25A shown, or can also be formed into the fins 54 to extend how through 25B shown.

Nach dem Bilden erstrecken sich die Source-/Drain-Kontaktöffnungen 106R, 106P um eine Distanz D4 teilweise in die epitaktischen Source-/Drain-Regionen 92, wie etwa in die oberen Abschnitte der epitaktischen Source-/Drain-Regionen 92. In einigen Ausführungsformen beträgt die Distanz D4 weniger als etwa die Hälfte der Höhe H1 (siehe 7B) der epitaktischen Source-/Drain-Regionen 92. Zeitgebundene Ätzprozesse können verwendet werden, um das Ätzen der Source-/Drain-Kontaktöffnungen 106R, 106P nach dem Erweitern der Source-/Drain-Kontaktöffnungen 106R, 106P auf die oberen Abschnitte der epitaktischen Source- /Drain-Regionen 92 um einen gewünschten Abstand D4 zu erweitern. Wenn beispielsweise die oben beschriebenen Ätzmittel verwendet werden, die epitaktischen Source-/Drain-Regionen 92 zu ätzen, kann das Ätzen für eine Dauer im Bereich von ca. 10 Sekunden bis ca. 40 Sekunden ausgeführt werden, die die Source-/Drain-Kontaktöffnungen 136 veranlassen können, sich um einen Abstand D4 im Bereich von ca. 2 nm bis ca. 10 nm in die oberen Abschnitte der epitaktischen Source-/Drain-Regionen 92P zu erstrecken. Die Source-/Drain-Kontaktöffnungen 106R können mit einer Breite W1 gebildet sein, die in dem Bereich von ca. 10 nm bis ca. 30 nm liegen kann.Once formed, the source / drain contact openings extend 106R , 106P by a distance D 4 partially into the epitaxial source / drain regions 92 such as in the upper portions of the epitaxial source / drain regions 92 . In some embodiments, the distance D 4 is less than about half the height H 1 (see FIG 7B) of the epitaxial source / drain regions 92 . Timed etching processes can be used to etch the source / drain contact openings 106R , 106P after widening the source / drain contact openings 106R , 106P on the upper portions of the epitaxial source / drain regions 92 by a desired distance D4 to expand. For example, if the etchants described above are used, the epitaxial source / drain regions 92 To etch, the etching can last for a period ranging from about 10 seconds to about 40 seconds Seconds running across the source / drain contact openings 136 Can cause yourself a distance D4 in the range from approx. 2 nm to approx. 10 nm in the upper sections of the epitaxial source / drain regions 92P to extend. The source / drain contact openings 106R can with a width W1 be formed, which can be in the range from about 10 nm to about 30 nm.

Nachdem dem Erweitern erstrecken sich die Source-/Drain-Kontaktöffnungen 106P um eine Distanz D5 in die Zwischenstruktur. In einigen Ausführungsformen ist die Distanz D5 größer als die Höhe H1 der epitaktischen Source-/Drain-Regionen 92. Zeitgebundene Ätzprozesse können verwendet werden, um das Ätzen der Source-/Drain-Kontaktöffnungen 106P nach dem Erweitern der Source-/Drain-Kontaktöffnungen 106P um einen gewünschten Abstand D5 in die Zwischenstruktur. Wenn beispielsweise die oben beschriebenen Ätzmittel verwendet werden, die Dielektrikumselemente 172, die inneren Abstandhalter 84, die Gateabstandhalter, 80 und die zweiten Nanostrukturen 56B zu ätzen, kann das Ätzen für eine Dauer im Bereich von ca. 140 Sekunden bis ca. 400 Sekunden ausgeführt werden, die die Source-/Drain-Kontaktöffnungen 136P veranlassen können, sich um einen Abstand D5 im Bereich von ca. 40 nm bis ca. 120 nm in die Zwischenstruktur zu erstrecken. Der obere Abschnitt der Source-/Drain-Kontaktöffnungen 106R (z. B. die Abschnitte über den epitaktischen Source-/Drain-Regionen 92P) kann ebenfalls auf eine Breite W2 erweitert werden, die im Bereich von ca. 10 nm bis ca. 50 nm liegen kann und größer als die Breite W1 ist. Der untere Abschnitt der Source-/Drain-Kontaktöffnungen 106R (z. B. die zu den epitaktischen Source-/Drain-Regionen 92P benachbarten Abschnitte) kann ebenfalls auf eine Breite W3 gebildet werden, die im Bereich von ca. 10 nm bis ca. 30 nm liegen kann und kleiner als die Breite W2 ist.After expanding, the source / drain contact openings extend 106P by a distance D5 into the intermediate structure. In some embodiments, the distance is D5 greater than the height H1 of the epitaxial source / drain regions 92 . Timed etching processes can be used to etch the source / drain contact openings 106P after widening the source / drain contact openings 106P by a desired distance D5 into the intermediate structure. For example, if the etchants described above are used, the dielectric elements 172 , the inner spacers 84 , the gate spacers, 80 and the second nanostructures 56B To etch, the etching can be carried out for a duration in the range of about 140 seconds to about 400 seconds, which the source / drain contact openings 136P can cause a distance D 5 in the range of about 40 nm to about 120 nm to extend into the intermediate structure. The top portion of the source / drain contact openings 106R (e.g. the sections above the epitaxial source / drain regions 92P ) can also be expanded to a width W 2 , which can be in the range from approx. 10 nm to approx. 50 nm and is greater than the width W 1 . The lower portion of the source / drain contact openings 106R (e.g. those relating to the epitaxial source / drain regions 92P adjacent sections) can also be formed to a width W 3, which can be in the range from approx. 10 nm to approx. 30 nm and is smaller than the width W 2 .

Metallhalbleiterlegierungsregionen 108 sind dann in den Source-/Drain-Kontaktöffnungen 106 gebildet, wie etwa an Abschnitten der epitaktischen Source-/Drain-Regionen 92, die durch die Source-/Drain-Kontaktöffnungen 106 offengelegt sind. In dieser Ausführungsform erstrecken sich die Metallhalbleiterlegierungsregionen 108 entlang der offenliegenden Seitenwände der epitaktischen Source-/Drain-Regionen 92P. Die Metallhalbleiterlegierungsregionen 108 können in einer ähnlichen Weise gebildet sein, wie mit Verweis auf 11A und 11B beschrieben.Metal semiconductor alloy regions 108 are then in the source / drain contact openings 106 such as at portions of the source / drain epitaxial regions 92 passing through the source / drain contact openings 106 are disclosed. In this embodiment, the metal semiconductor alloy regions extend 108 along the exposed sidewalls of the epitaxial source / drain regions 92P . The metal semiconductor alloy regions 108 may be formed in a similar manner as with reference to 11A and 11B described.

In 26A und 26B sind erste Source-/Drain-Kontakte 112A in den Source-/Drain-Kontaktöffnungen 106 gebildet. Die ersten Source-/Drain-Kontakte 112A können in einer ähnlichen Weise gebildet sein wie die mit Verweis auf 12A und 12B beschriebene. In dieser Ausführungsform erstreckt sich ein Untersatz der ersten Source-/Drain-Kontakte 112AP, wie etwa derer, die in den Source-/Drain-Kontaktöffnungen 106P gebildet sind, entlang der Seitenwände und der oberen Flächen der epitaktischen Source-/Drain-Regionen 92P und stehen in Kontakt damit.In 26A and 26B are the first source / drain contacts 112A in the source / drain contact openings 106 educated. The first source / drain contacts 112A may be formed in a manner similar to that referred to in 12A and 12B described. In this embodiment, a subset of the first source / drain contacts 112A P , such as those in the source / drain contact openings, extend 106P are formed along the sidewalls and top surfaces of the epitaxial source / drain regions 92P and are in contact with it.

Nach dem Bilden weisen die ersten Source-/Drain-Kontakte 112A ähnliche Abmessungen auf wie die Source-/Drain-Kontaktöffnungen 106. Die ersten Source-/Drain-Kontakte 112A erstrecken sich um die Distanz D4 (siehe 25B) in die oberen Abschnitte der epitaktischen Source-/Drain-Regionen 92 und Abschnitte der ersten Source-/Drain-Kontakte 112A über den epitaktischen Source-/Drain-Regionen 92 weisen eine Höhe im Bereich von ca. 2 nm bis ca. 10 nm auf.After the formation, the first source / drain contacts have 112A similar dimensions as the source / drain contact openings 106 . The first source / drain contacts 112A extend by the distance D 4 (see 25B) into the upper portions of the epitaxial source / drain regions 92 and portions of the first source / drain contacts 112A over the epitaxial source / drain regions 92 have a height in the range from approx. 2 nm to approx. 10 nm.

In 27A und 27B ist eine zweite ILD 114 über der ersten ILD 96, den Gateelektroden 104 und den ersten Source-/Drain-Kontakten 112A abgeschieden. Kontaktöffnungen 116 sind dann in der zweiten ILD 114 gebildet. Die zweite ILD 114 und die Kontaktöffnungen 116 können in einer ähnlichen Weise gebildet sein wie die mit Verweis auf 13A und 13B beschriebenen.In 27A and 27B is a second ILD 114 above the first ILD 96 , the gate electrodes 104 and the first source / drain contacts 112A deposited. Contact openings 116 are then in the second ILD 114 educated. The second ILD 114 and the contact openings 116 may be formed in a manner similar to that referred to in 13A and 13B described.

In 28A und 28B sind zweite Source-/Drain-Kontakte 112B und Gatekontakte 118 gebildet, die sich durch die zweite ILD 114 erstrecken. Die zweiten Source-Drain-Kontakte 112B und die Gatekontakte 118 können in einer ähnlichen Weise gebildet werden wie die bezüglich 14A und 14B beschriebenen. Wie oben angemerkt, können die zweiten Source-/Drain-Kontakte 112B und die Gatekontakte 118 in denselben Querschnitten gebildet sein, die durch 27B und 28B dargestellt sind, oder können in anderen Querschnitten gebildet sein, wie durch 27A und 28A dargestellt.In 28A and 28B are second source / drain contacts 112B and gate contacts 118 formed by the second ILD 114 extend. The second source-drain contacts 112B and the gate contacts 118 can be formed in a manner similar to that relating to 14A and 14B described. As noted above, the second source / drain contacts 112B and the gate contacts 118 be formed in the same cross-sections that through 27B and 28B are shown, or may be formed in other cross-sections, such as by 27A and 28A shown.

In 29A und 29B kann die Zwischenstruktur in einer ähnlichen Weise verarbeitet werden, wie die mit Verweis auf 15 bis 17 beschriebene. Beispielsweise kann eine Zwischenverbindungsstruktur 122 gebildet werden, die Struktur kann umgedreht werden und das Substrat 50 kann mit einer Dielektrikumschicht 128 ersetzt werden. Source/Drain-Kontaktöffnungen 136 werden dann durch die Dielektrikumschicht 128 und die Finnen 54 gebildet. Die Source-/Drain-Kontaktöffnungen 136 können sich auch in die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P erstrecken. Die Source-/Drain-Kontaktöffnungen 136 können in einer ähnlichen Weise gebildet werden, wie die bezüglich 18A und 18B beschriebenen, z. B. durch Verwendung einer Maske 134 als einer Ätzmaske. In dieser Ausführungsform sind die Source-/Drain-Kontaktöffnungen 136 nicht durch die epitaktischen Source-/Drain-Regionen 92P gebildet, sondern erstrecken sich nur teilweise in die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P. Zeitgebundene Ätzprozesse können verwendet werden, um das Ätzen der Source-/Drain-Kontaktöffnungen 136 nach dem Erweitern der Source-/Drain-Kontaktöffnungen 136 auf die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P um den gewünschten Abstand D6 zu erweitern. Wenn beispielsweise die mit Verweis auf 18A und 18B beschriebenen Ätzmittel verwendet werden, die Source-/Drain-Kontaktöffnungen 136 zu ätzen, kann das Ätzen für eine Dauer im Bereich von ca. 90 Sekunden bis ca. 240 Sekunden ausgeführt werden, die die Source-/Drain-Kontaktöffnungen 136 veranlassen können, sich um einen Abstand D6 im Bereich von ca. 2 nm bis ca. 10 nm in die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P zu erstrecken. Die Source-/Drain-Kontaktöffnungen 136 können mit einer Breite W3 gebildet sein, die in dem Bereich von ca. 10 nm bis ca. 50 nm liegen kann.In 29A and 29B For example, the intermediate structure can be processed in a manner similar to that referred to in reference to 15th until 17th described. For example, an interconnection structure 122 can be formed, the structure can be turned over and the substrate 50 can with a dielectric layer 128 be replaced. Source / drain contact openings 136 are then through the dielectric layer 128 and the Finns 54 educated. The source / drain contact openings 136 can also extend into the lower sections of the epitaxial source / drain regions 92P extend. The source / drain contact openings 136 can be formed in a manner similar to that relating to 18A and 18B described, e.g. By using a mask 134 as an etching mask. In this embodiment the source / drain contact openings are 136 not through the epitaxial source / drain regions 92P educated, rather extend only partially into the lower portions of the epitaxial source / drain regions 92P . Timed etching processes can be used to etch the source / drain contact openings 136 after widening the source / drain contact openings 136 on the lower portions of the epitaxial source / drain regions 92P to extend the desired distance D 6 . For example, if the one with reference to 18A and 18B etchant described are used, the source / drain contact openings 136 To etch, the etching can be carried out for a duration in the range of about 90 seconds to about 240 seconds, covering the source / drain contact openings 136 can cause a distance D 6 in the range of about 2 nm to about 10 nm in the lower sections of the epitaxial source / drain regions 92P to extend. The source / drain contact openings 136 can be formed with a width W 3 , which can be in the range from about 10 nm to about 50 nm.

In 30A und 30B sind Metallhalbleiterlegierungsregionen 138 in den Source-/Drain-Kontaktöffnungen 136 gebildet, wie etwa an Abschnitten der epitaktischen Source-/Drain-Regionen 92P, die durch die Source-/Drain-Kontaktöffnungen 136 offengelegt sind. Die Metallhalbleiterlegierungsregionen 138 können in einer ähnlichen Weise gebildet sein, wie mit Verweis auf 19A und 19B beschrieben. In einer Ausführungsform sind die Metallhalbleiterlegierungsregionen 138 gebogene Schichten, die physisch mit den Abschnitten der Metallhalbleiterlegierungsregionen 108 gekoppelt sind, die sich entlang der Seitenwände der epitaktischen Source-/Drain-Regionen 92P erstrecken. Auch wenn die Metallhalbleiterlegierungsregionen 108, 138 als getrennte Regionen dargestellt sind, sollte beachtet werden, dass in einigen Ausführungsformen die Metallhalbleiterlegierungsregionen 108, 138 während des Bildens verschmelzen, sodass keine unterscheidbare Schnittstelle zwischen ihnen besteht.In 30A and 30B are metal semiconductor alloy regions 138 in the source / drain contact openings 136 such as at portions of the source / drain epitaxial regions 92P passing through the source / drain contact openings 136 are disclosed. The metal semiconductor alloy regions 138 may be formed in a similar manner as with reference to 19A and 19B described. In one embodiment, the metal semiconductor alloy regions are 138 curved layers physically associated with the sections of the metal semiconductor alloy regions 108 are coupled that extend along the sidewalls of the epitaxial source / drain regions 92P extend. Even if the metal semiconductor alloy regions 108 , 138 are shown as separate regions, it should be noted that, in some embodiments, the metal semiconductor alloy regions 108 , 138 merge as they are formed so that there is no distinguishable interface between them.

Dritte Source-/Drain-Kontakte 112C sind dann in den Source-/Drain-Kontaktöffnungen 136 gebildet. Die dritten Source-/Drain-Kontakte 112C können in einer ähnlichen Weise gebildet sein wie die mit Verweis auf 19A und 19B beschriebene. In dieser Ausführungsform weisen die dritten Source-/Drain-Kontakte 112C Abschnitte an den ersten Source-/Drain-Kontakten 112AP und Abschnitte, die sich in die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P erstrecken auf. Die dritten Source-/Drain-Kontakte 112C erstrecken sich so entlang der unteren Flächen der epitaktischen Source-/Drain-Regionen 92P und kontaktieren diese. Nach dem Bilden weisen die dritten Source-/Drain-Kontakte 112C ähnliche Abmessungen auf wie die Source-/Drain-Kontaktöffnungen 136. Die erste Source-/Drain-Kontakte 112AP und die dritten Source-/Drain-Kontakte 112C bilden leitfähige Durchkontaktierungen 148, die als Stromschienendurchkontaktierungen bezeichnet werden können. In dieser Ausführungsform sind die Metallhalbleiterlegierungsregionen 108, 138 zwischen jeder leitfähigen Durchkontaktierung 148 und jeder der beiden Fläche, der Seitenwand und der unteren Fläche der jeweiligen epitaktischen Source-/Drain-Region 92P angeordnet.Third source / drain contacts 112C are then in the source / drain contact openings 136 educated. The third source / drain contacts 112C may be formed in a manner similar to that referred to in 19A and 19B described. In this embodiment, the third have source / drain contacts 112C Sections at the first source / drain contacts 112A P and portions extending into the lower portions of the epitaxial source / drain regions 92P extend on. The third source / drain contacts 112C thus extend along the lower surfaces of the epitaxial source / drain regions 92P and contact them. After forming, the third have source / drain contacts 112C similar dimensions as the source / drain contact openings 136 . The first source / drain contacts 112AP and the third source / drain contacts 112C form conductive vias 148 which can be referred to as busbar vias. In this embodiment, the metal semiconductor alloy regions are 108 , 138 between each conductive via 148 and each of the two surface, the sidewall and the lower surface of the respective epitaxial source / drain region 92P arranged.

In 31 werden verbleibende Abschnitte einer Zwischenverbindungsstruktur 150 an einer Rückseite der Vorrichtungsschicht 120 gebildet. Die verbleibenden Abschnitte der Zwischenverbindungsstruktur 150 können in einer ähnlichen Weise gebildet sein wie die mit Verweis auf 20 und 21 beschriebenen. Die Zwischenverbindungsstruktur 150 umfasst Stromschienen 144P, die mit den leitfähigen Durchkontaktierungen 148 verbunden sind.In 31 become remaining portions of an interconnection structure 150 on a back side of the device layer 120 educated. The remaining sections of the interconnect structure 150 may be formed in a manner similar to that referred to in 20th and 21 described. The interconnection structure 150 includes busbars 144P that are connected to the conductive vias 148 are connected.

Eine Passivierungsschicht 160, UBMs 162 und externe Verbinder 164 sind dann über der Zwischenverbindungsstruktur 150 gebildet. Die Passivierungsschicht 160, die UBMs 162 und die externen Verbinder 164 können in ähnlicher Weise gebildet sein wie mit Verweis auf 22 beschrieben.A passivation layer 160 , UBMs 162 and external connectors 164 are then above the interconnection structure 150 educated. The passivation layer 160 who have favourited UBMs 162 and the external connectors 164 may be formed in a manner similar to that with reference to 22nd described.

32A bis 40 sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von Halbleitervorrichtungen nach einigen anderen Ausführungsformen. Speziell ist die Herstellung von vorderseitigen und rückseitigen Zwischenverbindungsstrukturen für Nano-FETs illustriert. 32A, 33A, 34A, 35A, 36A, 37A, 38A und 39A sind dreidimensionale Ansichten, die eine ähnliche dreidimensionale Ansicht wie 1 zeigen, wobei jedoch zwei Gatestrukturen und zwei Finnen gezeigt sind. 32B, 33B, 34B, 35B, 36B, 37B, 38B, 39B und 40 sind Querschnittsansichten, die entlang des Referenzquerschnitts A-A in 1 illustrieren. 32A, 33A, 34A, 35A, 36A, 37A, 38A und 39A sind vereinfachte dreidimensionale Ansichten und zeigen nicht alle Elemente der entsprechenden 32B, 33B, 34B, 35B, 36B, 37B, 38B und 39B. 32B, 33B, 34B, 35B, 36B, 37B, 38B, 39B und 40 können für die n-Region 50N und die p-Region 50P gelten. Unterschiede (wenn vorhanden) der Strukturen der n-Region 50N und der p-Region 50P werden in dem Text beschrieben, der jeder Figur beiliegt. 32A until 40 FIG. 13 are various views of intermediate stages in the manufacture of semiconductor devices in accordance with some other embodiments. Specifically, the fabrication of front and rear interconnect structures for nano-FETs is illustrated. 32A , 33A , 34A , 35A , 36A , 37A , 38A and 39A are three-dimensional views that have a similar three-dimensional view as 1 show, however, two gate structures and two fins are shown. 32B , 33B , 34B , 35B , 36B , 37B , 38B , 39B and 40 are cross-sectional views taken along the reference cross-section AA in FIG 1 illustrate. 32A , 33A , 34A , 35A , 36A , 37A , 38A and 39A are simplified three-dimensional views and do not show all elements of the corresponding 32B , 33B , 34B , 35B , 36B , 37B , 38B and 39B . 32B , 33B , 34B , 35B , 36B , 37B , 38B , 39B and 40 can for the n region 50N and the p region 50P are valid. Differences (if any) in the structures of the n-region 50N and the p-region 50P are described in the text accompanying each figure.

In den 32A und 32B wird eine Struktur erhalten, die ähnlich ist wie die mit Verweis auf 24A und 24B beschriebene. Source/Drain-Kontaktöffnungen 106 werden dann durch die erste ILD 96 und die CESL 94 gebildet. Die Source-/Drain-Kontaktöffnungen 106 können anfänglich unter Verwendung akzeptabler Photolithographie und Ätztechniken in dem ersten ILD 96 gebildet sein, wie etwa mit einem Ätzprozess, der selektiv für das erste ILD 96 ist (z. B. das Material des ersten ILD 96 schneller ätzt als das Material des CESL 94). Beispielsweise können die Source-/Drain-Kontaktöffnungen 106 anfänglich durch ein Trockenätzen unter Verwendung von Ammoniak (NH3) und Wasserstofffluoridgas (HF-Gas) durch das erste ILD 96 gebildet sein. Die Source-/Drain-Kontaktöffnungen 106 werden dann unter Verwendung akzeptabler Photolithographie und Ätztechniken durch die CESL 94 erweitert, wie etwa mit einem Ätzprozess, der selektiv für CESL 94 ist (z. B. das Material des CESL 94 schneller ätzt als das Material der epitaktischen Source-/Drain-Regionen 92). Beispielsweise können die Source-/Drain-Kontaktöffnungen 106 mit einem Trockenätzen unter Verwendung eines fluorbasierten Gases (z. B. C4F6) und von Wasserstoff- (H2) oder Sauerstoffgas (02) durch die CESL 94 erstreckt werden.In the 32A and 32B a structure similar to that with reference to is obtained 24A and 24B described. Source / drain contact openings 106 are then through the first ILD 96 and the CESL 94 educated. The source / drain contact openings 106 can be done initially in the first ILD using acceptable photolithography and etching techniques 96 be formed, such as with an etching process that is selective for the first ILD 96 is (e.g. the material of the first ILD 96 etches faster than the material of the CESL 94 ). For example, the source / drain contact openings 106 initially by dry etching using ammonia (NH 3 ) and hydrogen fluoride (HF) gas through the first ILD 96 be educated. The source / drain contact openings 106 are then made by the CESL using acceptable photolithography and etching techniques 94 expanded, such as with an etching process that is selective for CESL 94 is (e.g. the material of the CESL 94 etches faster than the material of the epitaxial source / drain regions 92 ). For example, the source / drain contact openings 106 with dry etching using a fluorine-based gas (e.g. C 4 F 6 ) and hydrogen ( H2 ) or oxygen gas ( 02 ) through the CESL 94 be extended.

Stromschienenöffnungen 174 werden dann durch die Dielektrikumselemente 172 und die zweiten Nanostrukturen 56B gebildet. Die Stromschienendurchkontaktierungsöffnungen 174 können unter Verwendung akzeptabler Photolithographie- und Ätztechniken gebildet sein, wie etwa mit einem Ätzprozess, der selektiv für die Dielektrikumselemente 172 und die zweiten Nanostrukturen 56B ist (z. B. die Materialien der Dielektrikumselemente 172 und der zweiten Nanostrukturen 56B schneller Ätzt als das Material der epitaktischen Source-/Drain-Regionen 92). Beispielsweise können die Stromschienendurchkontaktierungsöffnungen 174 durch ein Trockenätzen unter Verwendung eines fluorbasierten Gases (z. B. C4F6) und Wasserstoffgas (H2-Gas) oder Sauerstoffgas (O2-Gas) durch die Dielektrikumselemente 172 und die zweiten Nanostrukturen 56B erstreckt werden. Die Stromschienendurchkontaktierungsöffnungen 174 erstrecken sich möglicherweise nicht in die Finnen 54, wie durch 32A gezeigt, oder können auch gebildet sein, sich in die Finnen 54 zu erstrecken, wie durch 32B gezeigt.Busbar openings 174 are then through the dielectric elements 172 and the second nanostructures 56B educated. The busbar vias 174 may be formed using acceptable photolithography and etching techniques, such as an etching process that is selective to the dielectric elements 172 and the second nanostructures 56B (e.g. the materials of the dielectric elements 172 and the second nanostructures 56B Etches faster than the material of the epitaxial source / drain regions 92 ). For example, the busbar via openings 174 by dry etching using a fluorine-based gas (e.g., C 4 F 6 ) and hydrogen gas (H2 gas) or oxygen gas (O 2 gas) through the dielectric elements 172 and the second nanostructures 56B be extended. The busbar vias 174 may not extend into the Finns 54 how by 32A shown, or can also be formed into the fins 54 to extend how through 32B shown.

Nach dem Bilden erstrecken sich die Stromschienendurchkontaktierungsöffnungen 174 um eine Distanz D7 in die Zwischenstruktur. In einigen Ausführungsformen ist die Distanz D7 größer als die Höhe H1 (siehe 7B) der epitaktischen Source-/Drain-Regionen 92. Zeitgebundene Ätzprozesse können verwendet werden, um das Ätzen der Stromschienendurchkontaktierungsöffnungen 174 nach dem Erweitern der Stromschienendurchkontaktierungsöffnungen 174 um einen gewünschten Abstand D7 in die Zwischenstruktur. Wenn beispielsweise die oben beschriebenen Ätzmittel verwendet werden, die Dielektrikumselemente 172 und die zweiten Nanostrukturen 56B zu ätzen, kann das Ätzen für eine Dauer im Bereich von ca. 140 Sekunden bis ca. 400 Sekunden ausgeführt werden, die die Source-/Drain-Kontaktöffnungen 136 veranlassen können, sich um einen Abstand D7 im Bereich von ca. 40 nm bis ca. 120 nm in die Zwischenstruktur zu erstrecken. Die Stromschienendurchkontaktierungsöffnungen 174 können mit einer Breite W4 gebildet sein, die in dem Bereich von ca. 10 nm bis ca. 30 nm liegen kann.After forming, the busbar via openings extend 174 by a distance D 7 into the intermediate structure. In some embodiments, the distance D 7 is greater than the height H 1 (see FIG 7B) of the epitaxial source / drain regions 92 . Timed etch processes can be used to etch the busbar via openings 174 after widening the busbar vias 174 by a desired distance D 7 into the intermediate structure. For example, if the etchants described above are used, the dielectric elements 172 and the second nanostructures 56B To etch, the etching can be carried out for a duration in the range of about 140 seconds to about 400 seconds, which the source / drain contact openings 136 can cause a distance D 7 in the range from about 40 nm to about 120 nm to extend into the intermediate structure. The busbar vias 174 can with a width W4 be formed, which can be in the range from about 10 nm to about 30 nm.

In 33A und 33B sind erste Source-/Drain-Kontakte 112A in den Source-/Drain-Kontaktöffnungen 106 gebildet. Die ersten Source-/Drain-Kontakte 112A können in einer ähnlichen Weise gebildet sein wie die mit Verweis auf 12A und 12B beschriebene. Ferner sind Stromschienenkontakte 176 in den Stromschienendurchkontaktierungsöffnungen 174 gebildet. Die Stromschienenkontakte 176 können in einer ähnlichen Weise gebildet sein wie die ersten Source-/Drain-Kontakte 112A. Die ersten Source-/Drain-Kontakte 112A und die Stromschienenkontakte 176 können in demselben Prozess oder in anderen Prozessen gebildet sein. Die Stromschienenkontakte 176 erstrecken sich entlang, sind aber nicht physisch getrennt von den Seitenwänden der epitaktischen Source-/Drain-Regionen 92P. Die Stromschienenkontakte 176 erstrecken sich durch die Dielektrikumselemente 172 und die zweiten Nanostrukturen 56B.In 33A and 33B are the first source / drain contacts 112A in the source / drain contact openings 106 educated. The first source / drain contacts 112A may be formed in a manner similar to that referred to in 12A and 12B described. There are also busbar contacts 176 in the busbar vias 174 educated. The busbar contacts 176 may be formed in a similar manner as the first source / drain contacts 112A . The first source / drain contacts 112A and the busbar contacts 176 can be formed in the same process or in different processes. The busbar contacts 176 extend along but are not physically separated from the sidewalls of the epitaxial source / drain regions 92P . The busbar contacts 176 extend through the dielectric elements 172 and the second nanostructures 56B .

In 34A und 34B ist eine zweite ILD 114 über der ersten ILD 96, den Gateelektroden 104, den ersten Source-/Drain-Kontakten 112A und den Stromschienenkontakten 176 abgeschieden. Kontaktöffnungen 116 sind dann in der zweiten ILD 114 gebildet. Die zweite ILD 114 und die Kontaktöffnungen 116 können in einer ähnlichen Weise gebildet sein wie die mit Verweis auf 13A und 13B beschriebenen. In dieser Ausführungsform legt ein dritter Untersatz der Kontaktöffnungen 116C die Stromschienenkontakte 176 und die jeweiligen benachbarten ersten Source-/Drain-Kontakte 112A offen.In 34A and 34B is a second ILD 114 above the first ILD 96 , the gate electrodes 104 , the first source / drain contacts 112A and the busbar contacts 176 deposited. Contact openings 116 are then in the second ILD 114 educated. The second ILD 114 and the contact openings 116 may be formed in a manner similar to that referred to in 13A and 13B described. In this embodiment there is a third subset of the contact openings 116C the busbar contacts 176 and the respective adjacent first source / drain contacts 112A open minded.

In 35A und 35B sind zweite Source-/Drain-Kontakte 112B, Gatekontakte 118 und geteilte Kontakte 178 gebildet, die sich durch die zweite ILD 114 erstrecken. Die zweiten Source-Drain-Kontakte 112B und die Gatekontakte 118 können in einer ähnlichen Weise gebildet werden wie die bezüglich 14A und 14B beschriebenen. Die geteilten Kontakte 178 können in einer ähnlichen Weisen gebildet sein wie die zweiten Source-/Drain-Kontakte 112B und die Gatekontakte 118. Die geteilten Kontakte 178 sind jeweils mit einem Stromschienenkontakt 176 und einem jeweiligen benachbarten ersten Source-/Drain-Kontakt 112A verbunden.In 35A and 35B are second source / drain contacts 112B , Gate contacts 118 and shared contacts 178 formed by the second ILD 114 extend. The second source-drain contacts 112B and the gate contacts 118 can be formed in a manner similar to that relating to 14A and 14B described. The shared contacts 178 may be formed in a similar manner as the second source / drain contacts 112B and the gate contacts 118 . The shared contacts 178 are each with a busbar contact 176 and a respective adjacent first source / drain contact 112A tied together.

In 36A und 36B sind die geteilten Kontakte 178 ausgeschnitten, Öffnungen 180 über den geteilten Kontakten zu bilden 178. Die verbleibenden Abschnitte der geteilten Kontakte 178 können als leitfähige Leitungen betrachtet werden. Die geteilten Kontakte 178 können unter Verwendung akzeptabler Photolithographie und Ätztechniken ausgeschnitten werden, wie etwa mit einem Rückätzprozess, der selektiv für die geteilten Kontakte 178 ist (z. B. das Material der geteilten Kontakte 178 schneller ätzt als das Material der zweiten ILD 114).In 36A and 36B are the shared contacts 178 cut out openings 180 Form over the shared contacts 178. The remaining sections of the shared contacts 178 can be viewed as conductive lines. The shared contacts 178 can using acceptable photolithography and etching techniques be cut out, such as with an etch back process that is selective for the shared contacts 178 is (e.g. the material of the split contacts 178 etches faster than the material of the second ILD 114 ).

In 37A und 37B sind Dielektrikumstopfen 182 in den Öffnungen 180 gebildet. Die Dielektrikumstopfen 182 können aus einem Material gebildet sein, das aus derselben Gruppe von Kandidatenmaterialien der Dielektrikumselemente 172 gebildet ist und kann unter Verwendung eines Verfahrens abgeschieden sein, das aus derselben Gruppe Kandidatenverfahren gewählt ist, um die Dielektrikumselemente 172 abzuscheiden. Die Dielektrikumselemente 172 und die Dielektrikumstopfen 182 können aus demselben Material gebildet sein oder andere Materialien umfassen. Bilden der Dielektrikumstopfen 182 erlaubt das elektrische Isolieren der geteilten Kontakte 178 und deren Schutz während der nachfolgenden Bearbeitung.In 37A and 37B are dielectric plugs 182 in the openings 180 educated. The dielectric plugs 182 may be formed from a material selected from the same group of candidate materials of the dielectric elements 172 is formed and may be deposited using a method selected from the same group of candidate methods to form the dielectric elements 172 to be deposited. The dielectric elements 172 and the dielectric plugs 182 can be formed from the same material or comprise different materials. Forming the dielectric plugs 182 allows electrical isolation of the shared contacts 178 and their protection during subsequent processing.

In 38A und 38B kann die Zwischenstruktur in einer ähnlichen Weise verarbeitet werden, wie die mit Verweis auf 15 bis 17 beschriebene. Beispielsweise kann eine Zwischenverbindungsstruktur 122 gebildet werden, die Struktur kann umgedreht werden und das Substrat 50 kann mit einer Dielektrikumschicht 128 ersetzt werden. Source/Drain-Kontaktöffnungen 136 werden dann durch die Dielektrikumschicht 128 und die Finnen 54 gebildet. Die Source-/Drain-Kontaktöffnungen 136 können sich auch in die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P erstrecken. Die Source-/Drain-Kontaktöffnungen 136 können in einer ähnlichen Weise gebildet werden, wie die bezüglich 18A und 18B beschriebenen, z. B. durch Verwendung einer Maske 134 als einer Ätzmaske. In dieser Ausführungsform sind die Source-/Drain-Kontaktöffnungen 136 nicht durch die epitaktischen Source-/Drain-Regionen 92P gebildet, sondern erstrecken sich nur teilweise in die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P. Zeitgebundene Ätzprozesse können verwendet werden, um das Ätzen der Source-/Drain-Kontaktöffnungen 136 nach dem Erweitern der Source-/Drain-Kontaktöffnungen 136 auf die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P um den gewünschten Abstand D8 zu erweitern. Wenn beispielsweise die mit Verweis auf 18A und 18B beschriebenen Ätzmittel verwendet werden, die Source-/Drain-Kontaktöffnungen 136 zu ätzen, kann das Ätzen für eine Dauer im Bereich von ca. 90 Sekunden bis ca. 240 Sekunden ausgeführt werden, die die Source-/Drain-Kontaktöffnungen 136 veranlassen können, sich um einen Abstand D8 im Bereich von ca. 2 nm bis ca. 10 nm in die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P zu erstrecken. Die Source-/Drain-Kontaktöffnungen 136 können mit einer Breite W5 gebildet sein, die in dem Bereich von ca. 10 nm bis ca. 50 nm liegen kann.In 38A and 38B For example, the intermediate structure can be processed in a manner similar to that referred to in reference to 15th until 17th described. For example, an interconnection structure 122 can be formed, the structure can be turned over and the substrate 50 can with a dielectric layer 128 be replaced. Source / drain contact openings 136 are then through the dielectric layer 128 and the Finns 54 educated. The source / drain contact openings 136 can also extend into the lower sections of the epitaxial source / drain regions 92P extend. The source / drain contact openings 136 can be formed in a manner similar to that relating to 18A and 18B described, e.g. By using a mask 134 as an etching mask. In this embodiment the source / drain contact openings are 136 not through the epitaxial source / drain regions 92P but only partially extend into the lower portions of the epitaxial source / drain regions 92P . Timed etching processes can be used to etch the source / drain contact openings 136 after widening the source / drain contact openings 136 on the lower portions of the epitaxial source / drain regions 92P to widen the desired distance D 8. For example, if the one with reference to 18A and 18B etchant described are used, the source / drain contact openings 136 To etch, the etching can be carried out for a duration in the range of about 90 seconds to about 240 seconds, covering the source / drain contact openings 136 can cause a distance D 8 in the range of approximately 2 nm to approximately 10 nm into the lower sections of the epitaxial source / drain regions 92P to extend. The source / drain contact openings 136 can be formed with a width W 5 , which can be in the range from about 10 nm to about 50 nm.

In 39A und 39B sind Metallhalbleiterlegierungsregionen 138 in den Source-/Drain-Kontaktöffnungen 136 gebildet, wie etwa an Abschnitten der epitaktischen Source-/Drain-Regionen 92P, die durch die Source-/Drain-Kontaktöffnungen 136 offengelegt sind. Die Metallhalbleiterlegierungsregionen 138 können in einer ähnlichen Weise gebildet sein, wie mit Verweis auf 19A und 19B beschrieben. In einer Ausführungsform sind die Metallhalbleiterlegierungsregionen 138 gebogene Schichten. Auch wenn die Metallhalbleiterlegierungsregionen 108, 138 als getrennte Regionen dargestellt sind, sollte beachtet werden, dass in einigen Ausführungsformen die Metallhalbleiterlegierungsregionen 108, 138 während des Bildens verschmelzen, sodass keine unterscheidbare Schnittstelle zwischen ihnen besteht.In 39A and 39B are metal semiconductor alloy regions 138 in the source / drain contact openings 136 such as at portions of the source / drain epitaxial regions 92P passing through the source / drain contact openings 136 are disclosed. The metal semiconductor alloy regions 138 may be formed in a similar manner as with reference to 19A and 19B described. In one embodiment, the metal semiconductor alloy regions are 138 curved layers. Even if the metal semiconductor alloy regions 108 , 138 are shown as separate regions, it should be noted that, in some embodiments, the metal semiconductor alloy regions 108 , 138 merge as they are formed so that there is no distinguishable interface between them.

Dritte Source-/Drain-Kontakte 112C sind dann in den Source-/Drain-Kontaktöffnungen 136 gebildet. Die dritten Source-/Drain-Kontakte 112C können in einer ähnlichen Weise gebildet sein wie die mit Verweis auf 19A und 19B beschriebene. In dieser Ausführungsform weisen die dritten Source-/Drain-Kontakte 112C Abschnitte an den Stromschienenkontakten 176 und Abschnitte, die sich in die unteren Abschnitte der epitaktischen Source-/Drain-Regionen 92P erstrecken auf. Die dritten Source-/Drain-Kontakte 112C erstrecken sich so entlang der unteren Flächen der epitaktischen Source-/Drain-Regionen 92P und kontaktieren diese. Nach dem Bilden weisen die dritten Source-/Drain-Kontakte 112C ähnliche Abmessungen auf wie die Source-/Drain-Kontaktöffnungen 136. Die erste Source-/Drain-Kontakte 112A und die Stromschienenkontakte 176 bilden leitfähige Durchkontaktierungen 148, die als Stromschienendurchkontaktierungen bezeichnet werden können.Third source / drain contacts 112C are then in the source / drain contact openings 136 educated. The third source / drain contacts 112C may be formed in a manner similar to that referred to in 19A and 19B described. In this embodiment, the third have source / drain contacts 112C Sections at the busbar contacts 176 and portions extending into the lower portions of the epitaxial source / drain regions 92P extend on. The third source / drain contacts 112C thus extend along the lower surfaces of the epitaxial source / drain regions 92P and contact them. After forming, the third have source / drain contacts 112C similar dimensions as the source / drain contact openings 136 . The first source / drain contacts 112A and the busbar contacts 176 form conductive vias 148 which can be referred to as busbar vias.

In 40 werden verbleibende Abschnitte einer Zwischenverbindungsstruktur 150 an einer Rückseite der Vorrichtungsschicht 120 gebildet. Die verbleibenden Abschnitte der Zwischenverbindungsstruktur 150 können in einer ähnlichen Weise gebildet sein wie die mit Verweis auf 20 und 21 beschriebenen. Die Zwischenverbindungsstruktur 150 umfasst Stromschienen 144P, die mit den leitfähigen Durchkontaktierungen 148 verbunden sind.In 40 become remaining portions of an interconnection structure 150 on a back side of the device layer 120 educated. The remaining sections of the interconnect structure 150 may be formed in a manner similar to that referred to in 20th and 21 described. The interconnection structure 150 includes busbars 144P that are connected to the conductive vias 148 are connected.

Eine Passivierungsschicht 160, UBMs 162 und externe Verbinder 164 sind dann über der Zwischenverbindungsstruktur 150 gebildet. Die Passivierungsschicht 160, die UBMs 162 und die externen Verbinder 164 können in ähnlicher Weise gebildet sein wie mit Verweis auf 22 beschrieben.A passivation layer 160 , UBMs 162 and external connectors 164 are then above the interconnection structure 150 educated. The passivation layer 160 who have favourited UBMs 162 and the external connectors 164 may be formed in a manner similar to that with reference to 22nd described.

Ausführungsformen können Vorteile erzielen. Platzieren der Stromschienen 144P an einer Rückseite der Vorrichtungsschicht 120 statt an einer Vorderseite der Vorrichtungsschicht 120 können eine Erhöhung der Gatedichte und/oder Zwischenverbindungsdichte der entstehenden Halbleitervorrichtungen erlauben. Ferner kann die Rückseite der Vorrichtungsschicht 120 breitere Stromschienen aufnehmen den Widerstand verringern und die Effizienz der Stromabgabe an die Halbleitervorrichtungen erhöhen. Verbinden der Stromschienen 144P mit der Zwischenverbindungsstruktur 122 durch leitfähige Elemente (z. B. die leitfähigen Durchkontaktierungen 148) statt durch Halbleiterelemente (z. B. der epitaktischen Source-/Drain-Regionen 92P) kann auch Vorteile erreichen. Speziell kann die Leistung der örtlichen Zwischenverbindung zwischen den Zwischenverbindungsstrukturen 122, 150 verbessert werden. Schließlich können dieselben leitfähigen Elemente (z. B. die leitfähigen Durchkontaktierungen 148) verwendet werden, um die epitaktischen Source-/Drain-Regionen 92P mit dem Stromschienen 144P zu verbinden und örtliche Zwischenverbindungen zwischen den Zwischenverbindungsstrukturen 122, 150 herzustellen, was die Menge der leitfähigen Elemente in der Zwischenverbindungsstruktur 150 verringert.Embodiments can achieve advantages. Placing the busbars 144P on a back side of the device layer 120 rather than a front side of the device layer 120 can increase the gate density and / or Allow interconnection density of the resulting semiconductor devices. Furthermore, the back side of the device layer 120 wider bus bars accommodate lower resistance and increase the efficiency of current delivery to the semiconductor devices. Connect the busbars 144P with the interconnection structure 122 by conductive elements (e.g. the conductive vias 148 ) instead of through semiconductor elements (e.g. the epitaxial source / drain regions 92P ) can also achieve benefits. Specifically, the performance of the local interconnection between the interconnection structures 122 , 150 be improved. Finally, the same conductive elements (e.g. the conductive vias 148 ) are used to represent the epitaxial source / drain regions 92P with the busbars 144P to connect and local interconnections between the interconnection structures 122 , 150 Establish what the amount of conductive elements in the interconnect structure 150 decreased.

In einer Ausführungsform umfasst eine Vorrichtung: eine Nanostruktur; eine Gatestruktur, die die Nanostruktur umgibt; eine epitaktische Source-/Drain-Region, die zu der Gatestruktur benachbart ist; eine erste Dielektrikumschicht über einem oberen Abschnitt der epitaktischen Source-/Drain-Region; eine zweite Dielektrikumschicht unter einem unteren Abschnitt der epitaktischen Source-/Drain-Region; und eine Stromschienendurchkontaktierung, die sich durch die erste Dielektrikumschicht und die zweite Dielektrikumschicht erstreckt, wobei die Stromschienendurchkontaktierung physisch und elektrisch mit dem oberen Abschnitt und dem unteren Abschnitt der epitaktischen Source-/Drain-Region gekoppelt ist.In one embodiment, a device comprises: a nanostructure; a gate structure surrounding the nanostructure; a source / drain epitaxial region adjacent to the gate structure; a first dielectric layer over a top portion of the source / drain epitaxial region; a second dielectric layer under a lower portion of the source / drain epitaxial region; and a power bar via extending through the first dielectric layer and the second dielectric layer, the power bar via physically and electrically coupled to the top portion and the bottom portion of the epitaxial source / drain region.

In einigen Ausführungsformen der Vorrichtung erstreckt sich die Stromschienendurchkontaktierung durch die epitaktische Source-/Drainregion. In einigen Ausführungsformen der Vorrichtung umfasst die Stromschienendurchkontaktierung: einen ersten Source-/Drain-Kontakt, der sich durch die erste Dielektrikumschicht und in einen oberen Abschnitt der epitaktischen Source-/Drain-Region erstreckt; und einen zweiten Source-/Drain-Kontakt, der sich durch die zweite Dielektrikumschicht und in einen unteren Abschnitt der epitaktischen Source-/Drain-Region erstreckt, wobei der zweite Source-/Drain-Kontakt physisch und elektrisch mit dem ersten Source-/Drain-Kontakt gekoppelt ist. In einigen Ausführungsformen umfasst die Vorrichtung ferner: eine Metallhalbleiterlegierungsregion, die Abschnitte der Stromschienendurchkontaktierung umgibt, die sich durch die epitaktischen Source-/Drain-Region erstrecken. In einigen Ausführungsformen der Vorrichtung erstreckt sich die Stromschienendurchkontaktierung entlang einer Seitenwand der epitaktische Source-/Drainregion. In einigen Ausführungsformen der Vorrichtung umfasst die Vorrichtung: einen ersten Source-/Drain-Kontakt, der sich durch die erste Dielektrikumschicht erstreckt, wobei sich der erste Source-/Drain-Kontakt entlang der Seitenwand und einer oberen Fläche der epitaktischen Source-/Drain-Region erstreckt; und einen zweiten Source-/Drain-Kontakt, der sich durch die zweite Dielektrikumschicht erstreckt, wobei sich der zweite Source-/Drain-Kontakt entlang einer unteren Fläche der epitaktischen Source-/Drain-Region erstreckt. In einigen Ausführungsformen umfasst die Vorrichtung ferner: eine Metallhalbleiterlegierungsregion, die zwischen der Stromschienendurchkontaktierung und jeder der oberen Fläche, der Seitenwand und der unteren Fläche der epitaktischen Source-/Drain-Region angeordnet ist.In some embodiments of the device, the busbar via extends through the epitaxial source / drain region. In some embodiments of the device, the busbar via includes: a first source / drain contact extending through the first dielectric layer and into a top portion of the epitaxial source / drain region; and a second source / drain contact extending through the second dielectric layer and into a lower portion of the epitaxial source / drain region, the second source / drain contact physically and electrically connected to the first source / drain -Contact is coupled. In some embodiments, the device further comprises: a metal semiconductor alloy region surrounding portions of the busbar via that extend through the epitaxial source / drain region. In some device embodiments, the power bar via extends along a sidewall of the source / drain epitaxial region. In some embodiments of the device, the device comprises: a first source / drain contact extending through the first dielectric layer, the first source / drain contact extending along the sidewall and a top surface of the epitaxial source / drain Region extends; and a second source / drain contact extending through the second dielectric layer, the second source / drain contact extending along a lower surface of the epitaxial source / drain region. In some embodiments, the device further comprises: a metal semiconductor alloy region disposed between the power bar via and each of the top surface, the sidewall, and the bottom surface of the source / drain epitaxial region.

In einer Ausführungsform umfasst eine Vorrichtung: eine erste Zwischenverbindungsstruktur, umfassen Metallisierungsstrukturen; eine zweite Zwischenverbindungsstruktur, umfassend eine Stromschiene; eine Vorrichtungsschicht zwischen der ersten Zwischenverbindungsstruktur und der zweiten Zwischenverbindungsstruktur, wobei die Vorrichtungsschicht einen ersten Transistor umfasst, und der erste Transistor eine epitaktische Source-/Drain-Region umfasst; und eine leitfähige Durchkontaktierung, die sich durch die Vorrichtungsschicht erstreckt, wobei die leitfähige Durchkontaktierung die Stromschiene mit den Metallisierungsstrukturen verbindet und die leitfähige Durchkontaktierung die epitaktische Source-/Drain-Region kontaktiert.In one embodiment, a device comprises: a first interconnect structure, including metallization structures; a second interconnection structure comprising a bus bar; a device layer between the first interconnect structure and the second interconnect structure, the device layer including a first transistor and the first transistor including an epitaxial source / drain region; and a conductive via extending through the device layer, the conductive via connecting the power rail to the metallization structures and the conductive via contacting the epitaxial source / drain region.

In einigen Ausführungsformen der Vorrichtung erstreckt sich die leitfähige Durchkontaktierung durch die epitaktische Source-/Drainregion. In einigen Ausführungsformen der Vorrichtung erstreckt sich die leitfähige Durchkontaktierung entlang einer Seitenwand, einer oberen Fläche und einer unteren Fläche der epitaktischen Source-/Drain-Region und kontaktiert diese. In einigen Ausführungsformen der Vorrichtung erstreckt sich die leitfähige Durchkontaktierung entlang einer unteren Fläche der epitaktischen Source-/Drain-Region und kontaktiert diese, und erstreckt sich entlang und ist physisch getrennt von einer Seitenwand der epitaktischen Source-/Drain-Region.In some embodiments of the device, the conductive via extends through the epitaxial source / drain region. In some embodiments of the device, the conductive via extends along and contacts a sidewall, a top surface, and a bottom surface of the epitaxial source / drain region. In some device embodiments, the conductive via extends along and contacts a bottom surface of the epitaxial source / drain region, and extends along and is physically separate from a sidewall of the epitaxial source / drain region.

In einer Ausführungsform umfasst ein Verfahren: Bilden einer Nanostruktur über einer Finne; Bilden einer Gatestruktur um die Nanostruktur; Aufbauen einer epitaktischen Source-/Drain-Region zu der Gatestruktur benachbart; Abscheiden einer ersten Dielektrikumschicht an der epitaktischen Source-/Drain-Region; Bilden eines ersten Kontakts durch die erste Dielektrikumschicht, um die epitaktische Source-/Drain-Region zu kontaktieren; und Bilden eines zweiten Kontakts durch die Finne, um die epitaktische Source-/Drain-Region und den ersten Kontakt zu kontaktieren.In one embodiment, a method includes: forming a nanostructure over a fin; Forming a gate structure around the nanostructure; Building a source / drain epitaxial region adjacent to the gate structure; Depositing a first dielectric layer on the epitaxial source / drain region; Forming a first contact through the first dielectric layer to contact the epitaxial source / drain region; and forming a second contact through the fin to contact the source / drain epitaxial region and the first contact.

In einigen Ausführungsformen des Verfahrens umfasst das Bilden des ersten Kontakts: Bilden einer ersten Öffnung, die sich durch die erste Dielektrikumschicht und in einen oberen Abschnitt der epitaktischen Source-/Drain-Region erstreckt; und Bilden des ersten Kontakts in der ersten Öffnung. In einigen Ausführungsformen des Verfahrens umfasst das Bilden des zweiten Kontakts: Bilden einer zweiten Öffnung, die sich durch die Finne und in einen unteren Abschnitt der epitaktischen Source-/Drain-Region erstreckt, wobei die zweite Öffnung den ersten Kontakt offenlegt; und Bilden des zweiten Kontakts in der zweiten Öffnung. In einigen Ausführungsformen des Verfahrens umfasst das Bilden der ersten Öffnung: Ätzen der ersten Dielektrikumschicht mit einem Trockenätzen unter Verwendung von Ammoniak und Wasserstofffluoridgas; und Ätzen der epitaktischen Source-/Drain-Region mit einem Trockenätzen unter Verwendung von Chlorgas, Wasserstoffbromidgas und Sauerstoffgas. In einigen Ausführungsformen des Verfahrens erstreckt sich die erste Öffnung um eine erste Distanz in den oberen Abschnitt der epitaktischen Source-/Drain-Region und die zweite Öffnung erstreckt sich eine zweite Distanz in den unteren Abschnitt der epitaktischen Source-/Drain-Region, wobei die erste Distanz und die zweite Distanz jeweils in einem Bereich von 15 nm bis 60 nm liegen. In einigen Ausführungsformen umfasst das Verfahren ferner: Ersetzen der Gatestruktur durch eine zweite Dielektrikumschicht; Bilden einer ersten Öffnung, die sich durch die erste Dielektrikumschicht und die zweite Dielektrikumschicht erstreckt, wobei die erste Öffnung eine Seitenwand der epitaktischen Source-/Drain-Region offenlegt; und Bilden des ersten Kontakts in der ersten Öffnung. In einigen Ausführungsformen des Verfahrens umfasst das Bilden des zweiten Kontakts: Bilden einer zweiten Öffnung, die sich durch die Finne erstreckt, wobei die zweite Öffnung den ersten Kontakt und die epitaktische Source-/Drain-Region offenlegt; und Bilden des zweiten Kontakts in der zweiten Öffnung. In einigen Ausführungsformen des Verfahrens umfasst das Bilden der ersten Öffnung: Ätzen der ersten Dielektrikumschicht mit einem Trockenätzen unter Verwendung von Ammoniak und Wasserstofffluoridgas; und Ätzen der zweiten Dielektrikumschicht mit einem Trockenätzen unter Verwendung von fluorbasiertem Gas und Wasserstoff- oder Sauerstoffgas. In einigen Ausführungsformen des Verfahrens liegt eine Tiefe der ersten Öffnung in einem Bereich von 40 nm bis 120 nm.In some embodiments of the method, forming the first contact includes: forming a first opening extending through the first dielectric layer and into a top portion of the epitaxial source / drain region; and forming the first contact in the first opening. In some embodiments of the method, forming the second contact includes: forming a second opening that extends through the fin and into a lower portion of the epitaxial source / drain region, the second opening exposing the first contact; and forming the second contact in the second opening. In some embodiments of the method, forming the first opening includes: etching the first dielectric layer with a dry etch using ammonia and hydrogen fluoride gas; and etching the source / drain epitaxial region with a dry etch using chlorine gas, hydrogen bromide gas, and oxygen gas. In some embodiments of the method, the first opening extends a first distance into the upper portion of the epitaxial source / drain region and the second opening extends a second distance into the lower portion of the epitaxial source / drain region, wherein the the first distance and the second distance each lie in a range from 15 nm to 60 nm. In some embodiments, the method further comprises: replacing the gate structure with a second dielectric layer; Forming a first opening extending through the first dielectric layer and the second dielectric layer, the first opening exposing a sidewall of the epitaxial source / drain region; and forming the first contact in the first opening. In some embodiments of the method, forming the second contact includes: forming a second opening extending through the fin, the second opening exposing the first contact and the epitaxial source / drain region; and forming the second contact in the second opening. In some embodiments of the method, forming the first opening includes: etching the first dielectric layer with a dry etch using ammonia and hydrogen fluoride gas; and etching the second dielectric layer with a dry etch using fluorine-based gas and hydrogen or oxygen gas. In some embodiments of the method, a depth of the first opening is in a range from 40 nm to 120 nm.

Obiges umschreibt Elemente mehrerer Ausführungsformen, mit denen Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen. Fachleute auf dem Gebiet sollten verstehen, dass sie diese Offenbarung leicht als Grundlage für das Design oder die Änderung anderer Prozesse und Strukturen verwenden können, um dieselben Zwecke auszuführen und/oder dieselben Vorteile der hierin eingeführten Ausführungsformen zu erreichen. Fachleute auf dem Gebiet sollten außerdem verstehen, dass solche entsprechenden Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hieran vornehmen können, ohne vom Geist und Umfang dieser Offenbarung abzuweichen.The above describes elements of several embodiments that will enable those skilled in the art to better understand aspects of the present disclosure. Those skilled in the art should understand that they can readily use this disclosure as a basis for designing or changing other processes and structures to carry out the same purposes and / or achieve the same advantages of the embodiments introduced herein. Those skilled in the art should also understand that such respective constructions do not depart from the spirit and scope of the present disclosure and that they can make various changes, substitutions, and alterations therein without departing from the spirit and scope of this disclosure.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent literature cited

  • US 63/016520 [0001]US 63/016520 [0001]

Claims (20)

Vorrichtung, umfassend: eine Nanostruktur; eine Gatestruktur, die die Nanostruktur umgibt; eine epitaktische Source-/Drain-Region, die zu der Gatestruktur benachbart ist; eine erste Dielektrikumschicht über einem oberen Abschnitt der epitaktischen Source-/Drain-Region; eine zweite Dielektrikumschicht unter einem unteren Abschnitt der epitaktischen Source-/Drain-Region; und eine Stromschienendurchkontaktierung, die sich durch die erste Dielektrikumschicht und die zweite Dielektrikumschicht erstreckt, wobei die Stromschienendurchkontaktierung physisch und elektrisch mit dem oberen Abschnitt und dem unteren Abschnitt der epitaktischen Source-/Drain-Region gekoppelt ist.Apparatus comprising: a nanostructure; a gate structure surrounding the nanostructure; a source / drain epitaxial region adjacent to the gate structure; a first dielectric layer over a top portion of the source / drain epitaxial region; a second dielectric layer under a lower portion of the source / drain epitaxial region; and a power bar via extending through the first dielectric layer and the second dielectric layer, the power bar via physically and electrically coupled to the top portion and the bottom portion of the epitaxial source / drain region. Vorrichtung nach Anspruch 1, wobei sich die Stromschienendurchkontaktierung durch die epitaktische Source-/Drain-Region erstreckt.Device according to Claim 1 wherein the busbar via extends through the epitaxial source / drain region. Vorrichtung nach Anspruch 2, wobei die Stromschienendurchkontaktierung umfasst: einen ersten Source-/Drain-Kontakt, der sich durch die erste Dielektrikumschicht und in einen oberen Abschnitt der epitaktischen Source-/Drain-Region erstreckt; und einen zweiten Source-/Drain-Kontakt, der sich durch die zweite Dielektrikumschicht und in einen unteren Abschnitt der epitaktischen Source-/Drain-Region erstreckt, wobei der zweite Source-/Drain-Kontakt physisch und elektrisch mit dem ersten Source-/Drain-Kontakt gekoppelt ist.Device according to Claim 2 wherein the bus bar via comprises: a first source / drain contact extending through the first dielectric layer and into a top portion of the epitaxial source / drain region; and a second source / drain contact extending through the second dielectric layer and into a lower portion of the epitaxial source / drain region, the second source / drain contact physically and electrically connected to the first source / drain -Contact is coupled. Vorrichtung nach Anspruch 2, ferner umfassend: eine Metallhalbleiterlegierungsregion, die Abschnitte der Stromschienendurchkontaktierung umgibt, die sich durch die epitaktischen Source-/Drain-Region erstrecken.Device according to Claim 2 , further comprising: a metal semiconductor alloy region surrounding portions of the bus bar via that extend through the source / drain epitaxial region. Vorrichtung nach Anspruch 1, wobei sich die Stromschienendurchkontaktierung entlang einer Seitenwand der epitaktischen Source-/Drain-Region erstreckt.Device according to Claim 1 wherein the busbar via extends along a sidewall of the source / drain epitaxial region. Vorrichtung nach Anspruch 5, wobei die Stromschienendurchkontaktierung umfasst: einen ersten Source-/Drain-Kontakt, der sich durch die erste Dielektrikumschicht erstreckt, wobei sich der erste Source-/Drain-Kontakt entlang der Seitenwand und einer oberen Fläche der epitaktischen Source-/Drain-Region erstreckt; und einen zweiten Source-/Drain-Kontakt, der sich durch die zweite Dielektrikumschicht erstreckt, wobei sich der zweite Source-/Drain-Kontakt entlang einer unteren Fläche der epitaktischen Source-/Drain-Region erstreckt.Device according to Claim 5 wherein the bus bar via comprises: a first source / drain contact extending through the first dielectric layer, the first source / drain contact extending along the sidewall and a top surface of the epitaxial source / drain region; and a second source / drain contact extending through the second dielectric layer, the second source / drain contact extending along a lower surface of the epitaxial source / drain region. Vorrichtung nach Anspruch 6, ferner umfassend: eine Metallhalbleiterlegierungsregion, die zwischen der Stromschienendurchkontaktierung und jeder der oberen Fläche, der Seitenwand und der unteren Fläche der epitaktischen Source-/Drain-Region angeordnet ist.Device according to Claim 6 , further comprising: a metal semiconductor alloy region disposed between the bus bar via and each of the top surface, the sidewall, and the bottom surface of the source / drain epitaxial region. Vorrichtung, umfassend: eine erste Zwischenverbindungsstruktur, umfassend Metallisierungsstrukturen; eine zweite Zwischenverbindungsstruktur, umfassend eine Stromschiene; eine Vorrichtungsschicht zwischen der ersten Zwischenverbindungsstruktur und der zweiten Zwischenverbindungsstruktur, wobei die Vorrichtungsschicht einen ersten Transistor umfasst, und der erste Transistor eine epitaktische Source-/Drain-Region umfasst; und eine leitfähige Durchkontaktierung, die sich durch die Vorrichtungsschicht erstreckt, wobei die leitfähige Durchkontaktierung die Stromschiene mit den Metallisierungsstrukturen verbindet und die leitfähige Durchkontaktierung die epitaktische Source-/Drain-Region kontaktiert.Apparatus comprising: a first interconnect structure comprising metallization structures; a second interconnection structure comprising a bus bar; a device layer between the first interconnect structure and the second interconnect structure, the device layer including a first transistor and the first transistor including an epitaxial source / drain region; and a conductive via extending through the device layer, the conductive via connecting the power rail to the metallization structures and the conductive via contacting the epitaxial source / drain region. Vorrichtung nach Anspruch 8, wobei sich die leitfähige Durchkontaktierung durch die epitaktische Source-/Drain-Region erstreckt.Device according to Claim 8 wherein the conductive via extends through the epitaxial source / drain region. Vorrichtung nach Anspruch 8, wobei sich die leitfähige Durchkontaktierung entlang einer Seitenwand, einer oberen Fläche und einer unteren Fläche der epitaktischen Source-/Drain-Region erstreckt und diese kontaktiert.Device according to Claim 8 wherein the conductive via extends along and contacts a sidewall, a top surface, and a bottom surface of the source / drain epitaxial region. Vorrichtung nach Anspruch 8, wobei sich die leitfähige Durchkontaktierung entlang einer unteren Fläche der epitaktischen Source-/Drain-Region erstreckt und diese kontaktiert, und sich entlang einer Seitenwand der epitaktischen Source-/Drain-Region erstreckt und physisch davon getrennt ist.Device according to Claim 8 wherein the conductive via extends along and contacts a lower surface of the source / drain epitaxial region, and extends along and is physically separated from a sidewall of the source / drain epitaxial region. Verfahren, umfassend: Bilden einer Nanostruktur über einer Finne; Bilden einer Gatestruktur um die Nanostruktur; Aufbauen einer epitaktischen Source-/Drain-Region zu der Gatestruktur benachbart; Abscheiden einer ersten Dielektrikumschicht an der epitaktischen Source-/Drain-Region; Bilden eines ersten Kontakts durch die erste Dielektrikumschicht, um die epitaktische Source-/Drain-Region zu kontaktieren; und Bilden eines zweiten Kontakts durch die Finne, um die epitaktische Source-/Drain-Region und den ersten Kontakt zu kontaktieren.Method comprising: Forming a nanostructure over a fin; Forming a gate structure around the nanostructure; Building a source / drain epitaxial region adjacent to the gate structure; Depositing a first dielectric layer on the epitaxial source / drain region; Forming a first contact through the first dielectric layer to contact the epitaxial source / drain region; and Forming a second contact through the fin to contact the epitaxial source / drain region and the first contact. Verfahren aus Anspruch 12, wobei das Bilden des ersten Kontaktabstandhalters umfasst: Bilden einer ersten Öffnung, die sich durch die erste Dielektrikumschicht und in einen oberen Abschnitt der epitaktischen Source-/Drain-Region erstreckt; und Bilden des ersten Kontakts in der ersten Öffnung.Procedure Claim 12 wherein forming the first contact spacer comprises: forming a first opening extending through the first dielectric layer and into an upper one Extending portion of the epitaxial source / drain region; and forming the first contact in the first opening. Verfahren aus Anspruch 13, wobei das Bilden des zweiten Kontakts umfasst: Bilden einer zweiten Öffnung, die sich durch die Finne und in einen unteren Abschnitt der epitaktischen Source-/Drain-Region erstreckt, wobei die zweite Öffnung den ersten Kontakt offenlegt; und Bilden des zweiten Kontakts in der zweiten Öffnung.Procedure Claim 13 wherein forming the second contact comprises: forming a second opening extending through the fin and into a lower portion of the epitaxial source / drain region, the second opening exposing the first contact; and forming the second contact in the second opening. Verfahren aus Anspruch 13, wobei das Bilden der ersten Öffnung umfasst: Ätzen der ersten Dielektrikumschicht mit einem Trockenätzen unter Verwendung von Ammoniak und Wasserstofffluoridgas; und Ätzen der epitaktischen Source-/Drain-Region mit einem Trockenätzen unter Verwendung von Chlorgas, Wasserstoffbromidgas und Sauerstoffgas.Procedure Claim 13 wherein forming the first opening comprises: etching the first dielectric layer with a dry etch using ammonia and hydrogen fluoride gas; and etching the source / drain epitaxial region with a dry etch using chlorine gas, hydrogen bromide gas, and oxygen gas. Verfahren nach Anspruch 12, wobei sich die erste Öffnung um eine erste Distanz in den oberen Abschnitt der epitaktischen Source-/Drain-Region erstreckt und sich die zweite Öffnung eine zweite Distanz in den unteren Abschnitt der epitaktischen Source-/Drain-Region erstreckt, wobei die erste Distanz und die zweite Distanz jeweils in einem Bereich von 15 nm bis 60 nm liegen.Procedure according to Claim 12 wherein the first opening extends a first distance into the upper portion of the epitaxial source / drain region and the second opening extends a second distance into the lower portion of the epitaxial source / drain region, the first distance and the second distance are each in a range from 15 nm to 60 nm. Verfahren nach Anspruch 12, ferner umfassend: Ersetzen der Gatestruktur durch eine zweite Dielektrikumschicht; Bilden einer ersten Öffnung, die sich durch die erste Dielektrikumschicht und die zweite Dielektrikumschicht erstreckt, wobei die erste Öffnung eine Seitenwand der epitaktischen Source-/Drain-Region offenlegt; und Bilden des ersten Kontakts in der ersten Öffnung.Procedure according to Claim 12 , further comprising: replacing the gate structure with a second dielectric layer; Forming a first opening extending through the first dielectric layer and the second dielectric layer, the first opening exposing a sidewall of the epitaxial source / drain region; and forming the first contact in the first opening. Verfahren aus Anspruch 17, wobei das Bilden des zweiten Kontakts umfasst: Bilden einer zweiten Öffnung, die sich durch die Finne erstreckt, wobei die zweite Öffnung den ersten Kontakt und die epitaktische Source-/Drain-Region offenlegt; und Bilden des zweiten Kontakts in der zweiten Öffnung.Procedure Claim 17 wherein forming the second contact comprises: forming a second opening extending through the fin, the second opening exposing the first contact and the epitaxial source / drain region; and forming the second contact in the second opening. Verfahren aus Anspruch 17, wobei das Bilden der ersten Öffnung umfasst Ätzen der ersten Dielektrikumschicht mit einem Trockenätzen unter Verwendung von Ammoniak und Wasserstofffluoridgas; und Ätzen der zweiten Dielektrikumschicht mit einem Trockenätzen unter Verwendung eines fluorbasiertem Gases und Wasserstoff- oder Sauerstoffgases.Procedure Claim 17 wherein forming the first opening comprises etching the first dielectric layer with a dry etch using ammonia and hydrogen fluoride gas; and etching the second dielectric layer with dry etching using a fluorine-based gas and hydrogen or oxygen gas. Verfahren nach Anspruch 17, wobei eine Tiefe der ersten Öffnung in einem Bereich von 40 nm bis 120 nm liegt.Procedure according to Claim 17 , wherein a depth of the first opening is in a range from 40 nm to 120 nm.
DE102020122151.7A 2020-04-28 2020-08-25 SEMICONDUCTOR DEVICE AND METHOD Pending DE102020122151A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063016520P 2020-04-28 2020-04-28
US63/016,520 2020-04-28
US16/998,576 2020-08-20
US16/998,576 US11251308B2 (en) 2020-04-28 2020-08-20 Semiconductor device and method

Publications (1)

Publication Number Publication Date
DE102020122151A1 true DE102020122151A1 (en) 2021-10-28

Family

ID=78222807

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020122151.7A Pending DE102020122151A1 (en) 2020-04-28 2020-08-25 SEMICONDUCTOR DEVICE AND METHOD

Country Status (2)

Country Link
KR (1) KR102568602B1 (en)
DE (1) DE102020122151A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11532556B2 (en) * 2019-12-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for transistors having backside power rails
EP4394856A1 (en) * 2022-12-16 2024-07-03 Samsung Electronics Co., Ltd. Semiconductor device and method of forming thereof

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11515165B2 (en) * 2020-06-11 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
KR20240072745A (en) * 2022-11-17 2024-05-24 삼성전자주식회사 Integrated circuit device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190288004A1 (en) 2018-03-19 2019-09-19 Tokyo Electron Limited Three-dimensional device and method of forming the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5487625B2 (en) * 2009-01-22 2014-05-07 ソニー株式会社 Semiconductor device
US9812580B1 (en) * 2016-09-06 2017-11-07 Qualcomm Incorporated Deep trench active device with backside body contact
WO2018063302A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Backside source/drain replacement for semiconductor devices with metallization on both sides
US10629679B2 (en) * 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10867866B2 (en) * 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10700207B2 (en) * 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190288004A1 (en) 2018-03-19 2019-09-19 Tokyo Electron Limited Three-dimensional device and method of forming the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11532556B2 (en) * 2019-12-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for transistors having backside power rails
EP4394856A1 (en) * 2022-12-16 2024-07-03 Samsung Electronics Co., Ltd. Semiconductor device and method of forming thereof

Also Published As

Publication number Publication date
KR20210133850A (en) 2021-11-08
KR102568602B1 (en) 2023-08-18

Similar Documents

Publication Publication Date Title
DE102020121728B4 (en) PACKAGED SEMICONDUCTOR DEVICES HAVING BACK BUSBARS AND METHOD OF MANUFACTURE THERE
DE102020120522B4 (en) SELF-ALIGNED ETCHING IN SEMICONDUCTOR DEVICES
DE102020122151A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020119976B4 (en) METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE
DE102020109494B3 (en) CUT METALLGATE FILLING WITH GAP
DE102020130156A1 (en) SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THEREOF
DE102020110754B4 (en) METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE
DE102020113628A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019116036B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020115611A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020114875B4 (en) FINFET APPARATUS AND METHOD
DE102020129257B4 (en) Spacers for semiconductor devices having backside bus bars
DE102020129746A1 (en) SOURCE / DRAIN CONTACTS AND PROCEDURES FOR YOUR EDUCATION
DE102020114314A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020120002B4 (en) HEAT DISSIPATION IN SEMICONDUCTOR DEVICES
DE102022100241A1 (en) SOURCE/DRAIN BACK CONTACTS AND METHODS OF FORMING SAME
DE102020122823B4 (en) SEMICONDUCTOR DEVICES WITH DECOUPLING CAPACITORS
DE102020122828B4 (en) SEMICONDUCTOR DEVICES HAVING BACK VIA THRU-PHONES AND METHOD OF FORMING SAME
DE102021118124A1 (en) Transistor isolation regions and methods of forming the same
DE102020134570A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020124267A1 (en) Semiconductor device and method
DE102021103538A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020115408A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020114996A1 (en) Semiconductor device and method for forming it
DE102017127228B3 (en) Semiconductor device with a contact plug and method of manufacture

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication