DE102017127430A1 - Fill gaps with high aspect ratio - Google Patents

Fill gaps with high aspect ratio Download PDF

Info

Publication number
DE102017127430A1
DE102017127430A1 DE102017127430.8A DE102017127430A DE102017127430A1 DE 102017127430 A1 DE102017127430 A1 DE 102017127430A1 DE 102017127430 A DE102017127430 A DE 102017127430A DE 102017127430 A1 DE102017127430 A1 DE 102017127430A1
Authority
DE
Germany
Prior art keywords
layer
depositing
stack
spacer material
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102017127430.8A
Other languages
German (de)
Inventor
Wan-Lin Tsai
Shing-Chyang Pan
Sung-En Lin
Tze-Liang Lee
Jung-Hau Shiu
Jen Hung Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/725,996 external-priority patent/US10361112B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017127430A1 publication Critical patent/DE102017127430A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Abstract

Die vorliegende Offenbarung beschreibt ein Verfahren zum Ausbilden einer dielektrischen Schicht oder eines dielektrischen Stapels auf einer Photoresistschicht, wobei eine Beschädigung des Photoresists minimiert oder vermieden wird. Zusätzlich kann die dielektrische Schicht oder der dielektrische Stapel Öffnungen mit hohem Seitenverhältnis füllen und kann durch Ätzen entfernt werden. Die dielektrische Schicht oder der dielektrische Stapel kann mit einem konformen chemischen Niedertemperatur-Gasphasenabscheidungsverfahren oder einem konformen Niedertemperatur-Atomlagenabscheidungsverfahren abgeschieden werden, das eine Anzahl von Vorläufern und Plasmen oder Reaktionsgasen verwendet.

Figure DE102017127430A1_0000
The present disclosure describes a method of forming a dielectric layer or stack on a photoresist layer while minimizing or avoiding damage to the photoresist. In addition, the dielectric layer or stack may fill high aspect ratio openings and may be removed by etching. The dielectric layer or stack may be deposited by a low-temperature conformal chemical vapor deposition process or a low-temperature conformal atomic layer deposition process using a number of precursors and plasmas or reaction gases.
Figure DE102017127430A1_0000

Description

HINTERGRUNDBACKGROUND

Spin-On-Dielektrika haben spezielle Lückenfülleigenschaften für Strukturen mit hohem Seitenverhältnis (z. B. von mindestens 10:1). Die Ätzrate von Spin-On-Dielektrika kann jedoch für die Massenproduktion niedrig sein. Darüber hinaus können Spin-On-Dielektrika während des Rotationsbeschichtungsverfahrenes unerwünschte Lufttaschen (Hohlräume) entwickeln.Spin-on dielectrics have special gap filling properties for high aspect ratio structures (eg, at least 10: 1). However, the etch rate of spin-on dielectrics may be low for mass production. In addition, spin-on dielectrics may develop undesirable air pockets (voids) during the spin coating process.

Figurenlistelist of figures

Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.

  • 1 ist eine isometrische Ansicht eines Abstandshaltermaterials über einer Mehrzahl von gemusterten Strukturen, die auf einem Substrat ausgebildet sind, gemäß einigen Ausführungsformen.
  • 2 ist eine isometrische Ansicht einer Photoresistschicht über einem Abstandshaltermaterial mit Öffnungen in der Photoresistschicht gemäß einigen Ausführungsformen.
  • 3 ist eine Querschnittsansicht eines Lückenfülldielektrikums in einer Photoresistöffnung gemäß einigen Ausführungsformen.
  • 4 ist eine isometrische Ansicht eines Lückenfülldielektrikums über einem Abstandshaltermaterial nach einem ersten Rückätzverfahren gemäß einigen Ausführungsformen.
  • 5 ist eine isometrische Ansicht eines Lückenfülldielektrikums über einem Abstandshaltermaterial nach einem zweiten Rückätzverfahren gemäß einigen Ausführungsformen.
  • 6 ist eine Querschnittsansicht eines dielektrischen Stapels in einer Photoresistöffnung gemäß einigen Ausführungsformen.
  • 7 ist eine isometrische Ansicht eines dielektrischen Stapels über einem Abstandshaltermaterial nach einem ersten Rückätzverfahren gemäß einigen Ausführungsformen.
  • 8 ist eine isometrische Ansicht eines dielektrischen Stapels über einem Abstandshaltermaterial nach einem zweiten Rückätzverfahren gemäß einigen Ausführungsformen.
  • 9 ist ein Flussdiagramm eines beispielhaften Herstellungsverfahrens, das eine Ausbildung von einer oder mehreren dielektrischen Schichten beschreibt, die in Photoresiststrukturen gemäß einigen Ausführungsformen mit einem Niedertemperaturverfahren abgeschieden werden.
Aspects of the present disclosure will be best understood from the following detailed description when read with the accompanying drawings. Note that various elements are not drawn to scale in accordance with standard industry practice. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of description.
  • 1 FIG. 10 is an isometric view of a spacer material over a plurality of patterned structures formed on a substrate, according to some embodiments. FIG.
  • 2 FIG. 10 is an isometric view of a photoresist layer over a spacer material having openings in the photoresist layer according to some embodiments. FIG.
  • 3 FIG. 10 is a cross-sectional view of a gap filling dielectric in a photoresist opening according to some embodiments. FIG.
  • 4 FIG. 10 is an isometric view of a gap filling dielectric over a spacer material after a first etchback process according to some embodiments. FIG.
  • 5 FIG. 11 is an isometric view of a gap filling dielectric over a spacer material according to a second etch-back method according to some embodiments. FIG.
  • 6 FIG. 10 is a cross-sectional view of a dielectric stack in a photoresist opening according to some embodiments. FIG.
  • 7 FIG. 10 is an isometric view of a dielectric stack over a spacer material after a first etch-back process according to some embodiments. FIG.
  • 8th FIG. 10 is an isometric view of a dielectric stack over a spacer material according to a second etch-back method according to some embodiments. FIG.
  • 9 FIG. 10 is a flowchart of an exemplary fabrication process that describes formation of one or more dielectric layers deposited in photoresist patterns using a low temperature process, in accordance with some embodiments.

DETAILLIERTE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale des angegebenen Gegenstands zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Das Ausbilden eines ersten Merkmals über einem zweiten Merkmal in der folgenden Beschreibung kann beispielsweise Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sein können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.The following disclosure provides many different embodiments or examples to implement various features of the claimed subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course these are just examples and should not be limiting. For example, forming a first feature over a second feature in the following description may include embodiments in which the first and second features are in direct contact, and may also include embodiments in which additional features are formed between the first and second features can be, so that the first and the second feature do not have to be in direct contact. In addition, the present disclosure may repeat reference numerals and / or letters in the various examples. This repetition in itself does not enforce any relationship between the various described embodiments and / or configurations.

Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder einer Einrichtung mit anderen Element(en) oder Einrichtung(en) zu beschreiben, wie in den Figuren gezeigt ist. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.Further, spatially relative terms such as "below," "below," "lower," "above," "upper," and the like, for convenience of description, may be used to describe the relationship of one element or device to another element (FIG. en) or device (s) as shown in the figures. The spatially relative terms are intended to encompass different orientations of the device being used or operated in addition to the orientation shown in the figures. The device may be oriented differently (rotated 90 degrees or in a different orientation) and the spatially relative terms used herein may also be interpreted accordingly.

Der Begriff „nominell“ wie hier verwendet bezieht sich auf einen gewünschten oder Zielwert eines Merkmals oder Parameters für eine Komponente oder einen Verfahrensschritt, die während der Entwurfsphase eines Produkts oder Verfahrens festgelegt werden, zusammen mit einer Reihe von Werten über und/oder unter dem gewünschten Wert. Dieser Wertebereich entsteht üblicherweise aufgrund von leichten Abweichungen in Herstellungsverfahren oder -toleranzen. Wenn nicht anders definiert, haben alle hierin verwendeten technischen und wissenschaftlichen Begriffe die gleiche Bedeutung, wie sie üblicherweise von einem Fachmann auf dem Gebiet verstanden wird, zu dem diese Offenbarung gehört.The term "nominal" as used herein refers to a desired or target value of a feature or parameter for a component or process step that is determined during the design phase of a product or process, along with a series of values above and / or below the desired one Value. This range of values usually arises due to slight variations in manufacturing processes or tolerances. Unless otherwise defined, All technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this disclosure belongs.

Einige Halbleiterherstellungsvorgänge erfordern das Abscheiden einer dielektrischen Schicht in Photoresiststrukturen mit hohem Seitenverhältnis, z. B. von mindestens 10:1. Da die dielektrische Schicht jedoch direkt auf dem Photoresist abgeschieden werden kann, ist ein Niedertemperaturverfahren (z. B. unter 300 °C) erforderlich, um eine Beschädigung des Photoresists zu vermeiden. Ein beschädigter Photoresist kann an einer Strukturverzerrung leiden, wie beispielsweise Schrumpfung, unbeabsichtigt großen Merkmalen, Linienkantenrauhigkeit und Ungleichförmigkeit der Dicke. Spin-On-Dielektrika (SODs) können verwendet werden, um diese Strukturverzerrungen abzuschwächen. Allerdings haben SODs einige Schwächen. Zum Beispiel weisen sie niedrige Ätzraten auf, was ein Problem für die Massenproduktion sein kann. Zusätzlich können SODs während des Rotationsbeschichtungsverfahrens unerwünschte und zufällige Lufttaschen (Luftspalte oder Hohlräume) entwickeln. Die zufälligen Hohlräume können ein Zuverlässigkeitsproblem während nachfolgender Verarbeitung sein und sind daher unerwünscht.Some semiconductor manufacturing processes require the deposition of a dielectric layer into high aspect ratio photoresist patterns, e.g. B. of at least 10: 1. However, since the dielectric layer can be deposited directly on the photoresist, a low temperature process (eg, below 300 ° C) is required to avoid damaging the photoresist. A damaged photoresist may suffer from pattern distortion such as shrinkage, unintentionally large features, line edge roughness, and thickness nonuniformity. Spin on dielectrics (SODs) can be used to mitigate these structural distortions. However, SODs have some weaknesses. For example, they have low etch rates, which can be a problem for mass production. In addition, SODs may develop undesirable and random air pockets (air gaps or voids) during the spin coating process. The random cavities can be a reliability issue during subsequent processing and are therefore undesirable.

Die hier beschriebenen Ausführungsformen sind auf dielektrische Filme oder dielektrische Stapel gerichtet, die mit einem konformen Niedertemperatur-Abscheidungsverfahren abgeschieden werden können, um die oben genannten Nachteile bei der Abscheidung dielektrischer Schichten zu beseitigen. Die dielektrischen Filme können mit sauerstofffreien Reaktanten abgeschieden werden, wenn das darunterliegende Material (z. B. Photoresist) empfindlich gegenüber Sauerstoffschäden ist. Als solche stellen diese dielektrischen Filme verbesserte Lückenfülleigenschaften bereit und können Strukturen mit hohem Seitenverhältnis (z. B. höher als 20:1) füllen. Diese dielektrischen Filme können auch direkt auf einer Photoresistschicht mit minimaler oder keiner Beschädigung des Photoresistmaterials abgeschieden werden. Als ein Ergebnis haben die abgeschiedenen dielektrischen Filme verbesserte Ätzraten mit minimalen oder ohne Hohlräume.The embodiments described herein are directed to dielectric films or dielectric stacks which may be deposited by a conformal low temperature deposition process to overcome the above-mentioned disadvantages in the deposition of dielectric layers. The dielectric films can be deposited with oxygen-free reactants if the underlying material (eg photoresist) is sensitive to oxygen damage. As such, these dielectric films provide improved gap filling properties and can fill high aspect ratio (eg, higher than 20: 1) structures. These dielectric films can also be deposited directly onto a photoresist layer with minimal or no damage to the photoresist material. As a result, the deposited dielectric films have improved etch rates with minimal or no voids.

1 ist eine isometrische Ansicht einer beispielhaften Struktur 100 gemäß einigen Ausführungsformen. Die beispielhafte Struktur 100 umfasst gemusterte (d. h. strukturierte) Strukturen 110 auf einem Substrat 120. Die gemusterten Strukturen 110 können aus Silizium (z. B. amorph oder kristallin), einem Dielektrikum (z. B. Nitrid, Oxid oder Karbid), einem Metall oder einer beliebigen Kombination davon hergestellt sein. In einigen Ausführungsformen können die gemusterten Strukturen 110 durch ein deckendes Abstandshaltermaterial 115 bedeckt sein. Das deckende Abstandshaltermaterial 115 kann beispielsweise ein Titanoxid- (TiOx) -Film sein. In einigen Ausführungsformen kann das Substrat 120 ein teilweise hergestellter Wafer sein, der Front-End-of-Line- (FEOL) -Schichten, Middle-of-Line- (MOL) -Schichten und/oder eine Teil einer Back-End-of-Line- (BEOL) -Verbindungsschicht umfasst, in der metallische vertikale Verbindungszugangsleitungen (Durchkontaktierungen) und parallele Leitungen ausgebildet sind. In einigen Ausführungsformen kann das Substrat 120 ein leerer Bulk-Halbleiterwafer, eine obere Schicht eines Halbleiter-auf-Isolator-(SOI) -Wafers oder ein teilweise gefertigter Halbleiterwafer sein, der zuvor ausgebildete Schichten (FEOL-, MOL- und/oder BEOL-) umfasst. Beispielhaft und nicht einschränkend kann das Substrat 120 aus Silizium, einem anderen elementaren Halbleiter, einem Legierungshalbleiter oder einer Kombination daraus bestehen. In einigen Ausführungsformen kann das Substrat 120 ein nicht halbleitendes Substrat wie zum Beispiel Quarz sein. 1 is an isometric view of an exemplary structure 100 according to some embodiments. The exemplary structure 100 includes patterned (ie, structured) structures 110 on a substrate 120 , The patterned structures 110 may be made of silicon (eg, amorphous or crystalline), a dielectric (eg, nitride, oxide, or carbide), a metal, or any combination thereof. In some embodiments, the patterned structures 110 by a covering spacer material 115 be covered. The covering spacer material 115 For example, it may be a titanium oxide (TiO x ) film. In some embodiments, the substrate may be 120 a partially fabricated wafer comprising front-end-of-line (FEOL) layers, middle-of-line (MOL) layers, and / or part of a back-end-of-line (BEOL) Compound layer in which metallic vertical connection access lines (vias) and parallel lines are formed. In some embodiments, the substrate may be 120 an empty bulk semiconductor wafer, an upper layer of a semiconductor on insulator (SOI) wafer, or a partially fabricated semiconductor wafer comprising previously formed layers (FEOL, MOL and / or BEOL-). By way of example and not limitation, the substrate 120 silicon, another elemental semiconductor, an alloy semiconductor, or a combination thereof. In some embodiments, the substrate may be 120 a non-semiconducting substrate such as quartz.

2 ist eine isometrische Ansicht der beispielhaften Struktur 100 mit einer Schicht 200, die auf dem Abstandshaltermaterial 115 abgeschieden ist, und Öffnungen 210 mit hohem Seitenverhältnis (z. B. höher als 10:1) in der Schicht 200 gemäß einigen Ausführungsformen. In einigen Ausführungsformen kann die Schicht 200 eine Photoresistschicht, eine dielektrische Schicht, eine Metallschicht oder eine Halbleiterschicht sein. Beispielhaft wird die Schicht 200 im Zusammenhang mit einer Photoresistschicht beschrieben. Andere Materialschichten mit Öffnungen mit hohem Seitenverhältnis können verwendet werden, wie beispielsweise Dielektrika, Metalle und Halbleiter. Diese anderen Arten von Materialschichten liegen im Geist und Umfang dieser Offenbarung. 2 is an isometric view of the exemplary structure 100 with a layer 200 on the spacer material 115 is deposited, and openings 210 with high aspect ratio (eg, higher than 10: 1) in the layer 200 according to some embodiments. In some embodiments, the layer 200 a photoresist layer, a dielectric layer, a metal layer or a semiconductor layer. The layer becomes exemplary 200 described in the context of a photoresist layer. Other high aspect ratio material layers may be used, such as dielectrics, metals, and semiconductors. These other types of material layers are within the spirit and scope of this disclosure.

In 2 wird die Photoresistschicht 200 auf die gemusterten Strukturen 110 rotationsbeschichtet. Das Rotationsbeschichtungsverfahren kann vier Schritte umfassen: (i) Abgeben, (ii) Spin-Up, (iii) Spin-Off und (iv) Lösungsmittelverdampfung. Während des Abgabeschritts wird der Resist auf den Wafer abgegeben, während der Wafer steht oder sich dreht. In dem Spin-Up-Schritt kann der Wafer gedreht werden, um den Resist über die Waferoberfläche zu verteilen. Während des Spin-Off-Schritts wird überschüssiges Photoresistmaterial entfernt, um einen gleichmäßigen oder nahezu gleichmäßigen Resistfilm über der Waferoberfläche zu erhalten. Schließlich dreht sich der Wafer während des Lösungsmittelverdampfungsschritts weiter, bis das Lösungsmittel aus dem Photoresist verdampft und der Photoresistfilm nahezu trocken ist. Nach dem Aufbringen des Photoresists wird der Wafer einem Temperschritt (auch als „Weichbacken“ oder „Vorbacken“ bezeichnet) unterzogen. Ein Zweck des Vorheiz-Temperns besteht darin, jegliches verbleibende Lösungsmittel von dem beschichteten Photoresist zu entfernen, die Haftung des Photoresists zu verbessern und die Belastung des Photoresists, die während des Rotationsbeschichtungsverfahrens auftrat, zu lösen.In 2 becomes the photoresist layer 200 on the patterned structures 110 spin-coated. The spin coating process may include four steps: (i) dispensing, (ii) spin-up, (iii) spin-off, and (iv) solvent evaporation. During the dispensing step, the resist is dispensed onto the wafer while the wafer is standing or rotating. In the spin-up step, the wafer can be rotated to disperse the resist over the wafer surface. During the spin-off step, excess photoresist material is removed to obtain a uniform or nearly uniform resist film over the wafer surface. Finally, during the solvent evaporation step, the wafer continues to rotate until the solvent evaporates from the photoresist and the photoresist film is nearly dry. After the application of the photoresist, the wafer is subjected to an annealing step (also referred to as "soft baking" or "prebaking"). One purpose of the preheat anneal is to remove any remaining solvent from the coated photoresist, to reduce the adhesion of the photoresist To improve photoresists and to solve the stress of the photoresist, which occurred during the spin coating process.

Die Photoresistschicht 200 ist eine Verbindung, die eine Veränderung der Löslichkeit in einer Entwicklerlösung erfährt, wenn sie einem ultravioletten (UV-) oder extrem-ultravioletten (EUV-) Licht ausgesetzt wird. Ein Zweck der Photoresistschicht 200 bei der Halbleiterherstellung besteht darin, eine Maskenstrukturübertragung auf den Wafer zu unterstützen und so Teile des darunterliegenden Materials während nachfolgender Verfahren (z. B. einer Ätzung oder einer Ionenimplantation) zu schützen. Die Photoresistschicht 200 kann beispielsweise aus einer organischen Verbindung bestehen, die gegenüber einem Sauerstoffverfahren empfindlich ist. Zum Beispiel kann die Photoresistschicht 200 nicht einer sauerstoffbasierten Plasmabearbeitung oder einer sauerstoffthermischen Bearbeitung unterzogen werden. Insbesondere kann Sauerstoffkontakt eine Verformung der Photoresiststruktur verursachen, beispielsweise Schrumpfung, unbeabsichtigt große Merkmale, Linienkantenrauhigkeit (Linien mit schlechter Randschärfe) und/oder Dickeverlust.The photoresist layer 200 is a compound that undergoes a change in solubility in a developing solution when exposed to ultraviolet (UV) or extreme ultraviolet (EUV) light. A purpose of the photoresist layer 200 in semiconductor fabrication, it is to support mask pattern transfer to the wafer and thus protect portions of the underlying material during subsequent processes (eg, an etch or ion implantation). The photoresist layer 200 may for example consist of an organic compound which is sensitive to an oxygen process. For example, the photoresist layer 200 be subjected to oxygen-based plasma processing or oxygen-thermal processing. In particular, oxygen contact can cause deformation of the photoresist pattern, such as shrinkage, unintentionally large features, line edge roughness (lines with poor edge sharpness), and / or thickness loss.

In einigen Ausführungsformen kann die Photoresistschicht 200 eine Dicke zwischen 100 und 300 nm aufweisen. In einigen Ausführungsformen kann die Photoresistschicht 200 durch eine Hartmaskenschicht 205 mit einem Dickenbereich zwischen etwa 20 und etwa 40 nm bedeckt sein. In einigen Ausführungsformen kann die Hartmaskenschicht 205 eine Schicht sein, die Silizium, Sauerstoff und/oder Kohlenstoff enthält. Der oben erwähnte Dickenbereich ist lediglich ein Beispiel und soll nicht einschränkend sein. Die Photoresistschicht 200 kann gemäß einem vorgegebenen Entwurfslayout so gemustert (strukturiert) werden, dass Öffnungen 210 ausgebildet werden können, um mindestens eine der gemusterten Strukturen 110 und einen Teil des Substrats 120 freizulegen.In some embodiments, the photoresist layer may 200 a thickness between 100 and 300 nm. In some embodiments, the photoresist layer may 200 through a hard mask layer 205 with a thickness range between about 20 and be covered by about 40 nm. In some embodiments, the hard mask layer 205 a layer containing silicon, oxygen and / or carbon. The above-mentioned thickness range is only an example and is not intended to be limiting. The photoresist layer 200 can be patterned according to a given design layout such that openings 210 can be formed to at least one of the patterned structures 110 and a part of the substrate 120 expose.

Zum Beispiel kann die Photoresistschicht 200 gemustert werden, indem verschiedene Bereiche des Photoresists gemäß der Struktur in einer Photomaske (Retikel) UV- oder EUV-Licht ausgesetzt werden. Ein Nachbelichtungsbacken kann angewendet werden, um eine chemische Reaktion in der Photoresistschicht 200 auszulösen. Die chemische Reaktion kann die Löslichkeit der belichteten Bereiche der Photoresistschicht 200 verändern. Bei einem Negativ-Photoresist werden belichtete Bereiche vernetzt (gehärtet) und werden in einer Entwicklerlösung unlöslich. Bei einem Positiv-Photoresist werden die nicht belichteten Bereiche vernetzt (gehärtet) und werden in einer Entwicklerlösung unlöslich. Mit anderen Worten werden in einem Negativ-Photoresist die belichteten Bereiche nicht entfernt. Und in einen Positiv-Photoresist werden die belichteten Bereiche entfernt. Positiv-Photoresist kann jedoch aufgrund seiner verbesserten Linienbreitenauflösung bei der Herstellung von Sub-Mikrometer-Halbleitern verwendet werden.For example, the photoresist layer 200 can be patterned by exposing different areas of the photoresist according to the structure in a photomask (reticle) UV or EUV light. Post-exposure baking may be used to initiate a chemical reaction in the photoresist layer 200 trigger. The chemical reaction can increase the solubility of the exposed areas of the photoresist layer 200 change. In a negative photoresist, exposed areas are crosslinked (hardened) and become insoluble in a developing solution. In a positive photoresist, the unexposed areas are crosslinked (hardened) and become insoluble in a developing solution. In other words, in a negative photoresist, the exposed areas are not removed. And in a positive photoresist, the exposed areas are removed. However, positive photoresist can be used in the manufacture of submicron semiconductors because of its improved linewidth resolution.

Nach dem Photoresist-Belichtungsvorgang wird die Photoresistschicht 200 entwickelt. Während des Entwicklungsschritts wird die Photoresistschicht 200 einer Entwicklerlösung ausgesetzt, die die nicht vernetzten Bereiche in der Photoresistschicht 200 auflöst. Abhängig vom Photoresist-Typ (d. h. Negativ- oder Positiv-) können nicht lösliche Bereiche in der Photoresistschicht 200 belichtete oder nicht belichtete Bereiche sein. Nach dem Entwicklungsschritt wird der Wafer mit deionisiertem Wasser (DI) gespült und getrocknet. Ein Nachentwicklungs-Ausheizen (auch bekannt als „Hartbacken“) wird durchgeführt, um jegliches restliche Lösungsmittel zu verdampfen und die Photoresistschicht 200 zu härten. Die nominalen Härtungstemperaturen für Positiv- und Negativ-Resists können 130 °C bzw. 150 °C betragen.After the photoresist exposure process, the photoresist layer becomes 200 developed. During the development step, the photoresist layer becomes 200 a developer solution containing the non-crosslinked areas in the photoresist layer 200 dissolves. Depending on the type of photoresist (ie, negative or positive), non-soluble areas may be present in the photoresist layer 200 be exposed or unexposed areas. After the development step, the wafer is rinsed with deionized water (DI) and dried. Post-development bake (also known as "hard baking") is performed to evaporate any residual solvent and the photoresist layer 200 to harden. The nominal cure temperatures for positive and negative resists may be 130 ° C and 150 ° C, respectively.

Gemäß einigen Ausführungsformen kann eine Öffnung 210, die in der gemusterten Photoresistschicht 200 ausgebildet wird, einen Teil des deckenden Abstandshaltermaterials 115 über einer oder mehreren der gemusterten Strukturen 110 und einen Teil des deckenden Abstandshaltermaterials 115 über dem Substrat 120 freilegen. In einigen Ausführungsformen kann eine Mehrzahl von Öffnungen ähnlich der Öffnung 210 in der Photoresistschicht 200 ausgebildet werden. In einigen Ausführungsformen kann die Öffnung 210 ein Seitenverhältnis von etwa 10:1 aufweisen. Das Seitenverhältnis ist definiert als das Verhältnis der Tiefe zur Breite der Öffnung. Zum Beispiel kann die Öffnung 210 eine Tiefe von ungefähr 150 nm und eine Bodenbreite von ungefähr 15 nm aufweisen. In einigen Ausführungsformen kann die Öffnung 210 ein Seitenverhältnis von mehr als etwa 20:1 aufweisen.According to some embodiments, an opening 210 in the patterned photoresist layer 200 is formed, a part of the covering spacer material 115 over one or more of the patterned structures 110 and a portion of the opaque spacer material 115 above the substrate 120 uncover. In some embodiments, a plurality of openings may be similar to the opening 210 in the photoresist layer 200 be formed. In some embodiments, the opening may 210 have an aspect ratio of about 10: 1. The aspect ratio is defined as the ratio of the depth to the width of the aperture. For example, the opening 210 have a depth of about 150 nm and a bottom width of about 15 nm. In some embodiments, the opening may 210 an aspect ratio of more than about 20 Have: 1.

3 ist eine Querschnittsansicht entlang der Linie 215 von 2. In einigen Ausführungsformen kann eine dielektrische Schicht 300 über der Photoresistschicht 200 und der Hartmaskenschicht 205 abgeschieden werden, um die Öffnung 210 zu füllen. In einigen Ausführungsformen kann die dielektrische Schicht 300 mit einem plasmaverstärkten Niedertemperatur-Atomlagenabscheidungsverfahren (PEALD) oder einem plasmaverstärkten chemischen Gasphasenabscheidungsverfahren (PECVD) abgeschieden werden. PEALD- und PECVD-Verfahren können konform sein und somit kann die dielektrische Schicht 300 mit minimalen oder keinen Poren in Strukturen mit vertikalen Seitenwänden und obere Öffnungen ohne einspringende Winkel abgeschieden werden. In einigen Ausführungsformen kann die Prozesstemperatur während des Abscheidens unter 300 °C liegen, der Prozessdruck kann im Bereich von 0,5 bis 30 Torr liegen und die Hochfrequenz- (HF) -Leistung kann im Bereich von 5 bis 1000 Watt liegen. Beispielhaft und nicht einschränkend kann bei höheren HF-Leistungseinstellungen die dielektrische Schicht 300 dichter und ätzresistenter werden und eine geringere Wachstumsrate aufweisen. 3 is a cross-sectional view along the line 215 from 2 , In some embodiments, a dielectric layer 300 over the photoresist layer 200 and the hard mask layer 205 be deposited to the opening 210 to fill. In some embodiments, the dielectric layer 300 with a plasma enhanced low temperature atomic layer deposition process (PEALD) or a plasma enhanced chemical vapor deposition (PECVD) process. PEALD and PECVD methods can be compliant and thus the dielectric layer 300 with minimal or no pores are deposited in structures with vertical sidewalls and upper apertures without reentrant angles. In some embodiments, the process temperature during deposition may be below 300 ° C, the process pressure may range from 0.5 to 30 Torr lie and the High frequency (RF) power can range from 5 to 1000 Watts lie. By way of example and not limitation, at higher RF power settings, the dielectric layer 300 become denser and more resistant to etching and have a lower growth rate.

Während des Abscheidens (z. B. durch PECVD oder PEALD) kann das Plasma abhängig von einer Plasmareaktorkonfiguration entweder direkt oder indirekt (entfernt) sein. In einer direkten Plasmakonfiguration wird der Wafer direkt einer Plasmaentladung ausgesetzt, bei der reaktive Spezies hohe Energien aufweisen. In einer entfernten Plasmakonfiguration befindet sich der Wafer nicht auf dem direkten Pfad der aktiven Spezies mit der höchsten Energie des Plasmas und daher wird der Wafer Spezies ausgesetzt, die niedrigere Energien aufweisen. Remote-Plasmen können für Materialien verwendet werden, die für einige oder alle Komponenten im Plasma empfindlich sind.During deposition (eg, by PECVD or PEALD), the plasma may be either direct or indirect (remote) depending on a plasma reactor configuration. In a direct plasma configuration, the wafer is directly exposed to a plasma discharge in which reactive species have high energies. In a remote plasma configuration, the wafer is not on the direct path of the highest energy active species of the plasma, and thus the wafer is exposed to species that have lower energies. Remote plasmas can be used for materials that are sensitive to some or all components in the plasma.

In einigen Ausführungsformen füllt die dielektrische Schicht 300 die Öffnung 210 und bedeckt die Photoresistschicht 200 und die Hartmaskenschicht 205. Beispielhaft und nicht einschränkend kann die Dicke der dielektrischen Schicht 300 bis zu etwa 500 nm betragen.In some embodiments, the dielectric layer fills 300 the opening 210 and covers the photoresist layer 200 and the hardmask layer 205 , By way of example and not limitation, the thickness of the dielectric layer 300 up to about 500 nm.

In einigen Ausführungsformen kann ein Vorläufer für die dielektrische Schicht 300 Tris(dimethylamino)silan (3DMAS), Tetrakis(dimethylamino)titan (TDMAT), Bis(tert-butylamino)silan (BTBAS) oder Bis(diethylamino)silan (BDEAS) sein. In einigen Ausführungsformen kann ein Argonplasma, ein Stickstoffplasma oder ein Stickstoff-basiertes Plasma (z. B. Ammoniak (NH3)) während des Abscheidungsverfahrens verwendet werden, um den Vorläufer zu dissoziieren. In einigen Ausführungsformen kann ein Sauerstoffplasma oder ein Kohlendioxidplasma während des Abscheidungsverfahrens verwendet werden, um den Vorläufer zu dissoziieren, wenn die Schicht 200 keine Photoresistschicht oder sauerstoffempfindliche Schicht ist. Die plasmaverstärkten Verfahren können niedrigere Abscheidetemperaturen (ein niedrigeres thermisches Budget) im Vergleich zu ihren thermischen Gegenstücken haben (z. B. Verfahren, die nur thermische Energie für die Dissoziation der Vorläufer verwenden), da das Plasma zusätzliche „Energie“ bereitstellen kann, die für die Dissoziation der Vorläufer notwendig ist. Folglich muss ein ähnliches thermisches Verfahren möglicherweise bei einer höheren Temperatur arbeiten, wenn die gleichen Reaktanten verwendet werden. Zum Beispiel kann ein thermisches Verfahren bei Abscheidetemperaturen von etwa 300 °C oder mehr arbeiten.In some embodiments, a precursor for the dielectric layer 300 Tris (dimethylamino) silane (3DMAS), tetrakis (dimethylamino) titanium (TDMAT), bis (tert-butylamino) silane (BTBAS) or bis (diethylamino) silane (BDEAS). In some embodiments, an argon plasma, a nitrogen plasma, or a nitrogen-based plasma (eg, ammonia (NH 3 )) may be used during the deposition process to dissociate the precursor. In some embodiments, an oxygen plasma or a carbon dioxide plasma may be used during the deposition process to dissociate the precursor when the layer 200 is not a photoresist layer or oxygen-sensitive layer. The plasma enhanced processes may have lower deposition temperatures (a lower thermal budget) compared to their thermal counterparts (eg, methods that use only thermal energy for the dissociation of the precursors), since the plasma can provide additional "energy" that is available for the dissociation of precursors is necessary. Consequently, a similar thermal process may need to operate at a higher temperature if the same reactants are used. For example, a thermal process may operate at deposition temperatures of about 300 ° C or more.

In einigen Ausführungsformen können die dielektrische Schicht 300, die Photoresistschicht 200 und die Hartmaskenschicht 205, die sich alle oberhalb des Abstandshaltermaterials 115 befinden, mit einem Rückätzverfahren entfernt werden. Das Rückätzverfahren kann eine Ätzchemie verwenden, die dieselbe Selektivität für die dielektrische Schicht 300, die Photoresistschicht 200 und die Hartmaskenschicht 205 hat. Mit anderen Worten müssen die dielektrische Schicht 300, die Photoresistschicht 200 und die Hartmaske 205 während des Rückätzverfahrens mit der gleichen Rate geätzt werden. In einigen Ausführungsformen weist das Rückätzverfahren eine physikalische und eine chemische Ätzkomponente auf. Beispielhaft und nicht einschränkend kann die Ätzchemie Gase wie Tetrafluormethan (CF4) oder Trifluormethan (CHF3) und ein Inertgas wie Ar umfassen. In einigen Ausführungsformen kann die Ätzrate für die dielektrische Schicht 300 im Bereich von etwa 5 Å/s bis etwa 50 Å/s (z. B. 15 Å/s) liegen, abhängig von Bedingungen des Rückätzverfahrens und der Elementkonzentration der dielektrischen Schicht 300. In einigen Ausführungsformen kann das Rückätzverfahren auf dem Abstandshaltermaterial 115 stoppen (z. B. wenn das Abstandshaltermaterial 115 durch die Ätzchemie freigelegt ist). Jeglicher verbleibende Photoresist auf dem Abstandshaltermaterial 115 und zwischen den gemusterten Strukturen 110 kann mit einem Nassreinigungs- oder einem Trockenätzverfahren, das für die Photoresistschicht 200 selektiv ist, abgelöst (entfernt) werden. 4 zeigt die gemusterten Strukturen 110 und das Abstandshaltermaterial 115 nach Abschluss eines Rückätzverfahrens und eines Nassreinigungs- oder Trockenätzvorgangs gemäß einigen Ausführungsformen.In some embodiments, the dielectric layer 300 , the photoresist layer 200 and the hardmask layer 205 all located above the spacer material 115 be removed with an etch back process. The etch back process may use an etch chemistry that has the same selectivity for the dielectric layer 300 , the photoresist layer 200 and the hardmask layer 205 Has. In other words, the dielectric layer must be 300 , the photoresist layer 200 and the hard mask 205 etched at the same rate during the etch back process. In some embodiments, the etchback process includes a physical and a chemical etch component. By way of example and not limitation, the etch chemistry may include gases such as tetrafluoromethane (CF 4 ) or trifluoromethane (CHF 3 ) and an inert gas such as Ar. In some embodiments, the etch rate for the dielectric layer 300 in the range of about 5 Å / s to about 50 Å / s (e.g., 15 Å / sec), depending on conditions of the etch back process and the elemental concentration of the dielectric layer 300 , In some embodiments, the etchback process may be performed on the spacer material 115 stop (for example, if the spacer material 115 exposed by the etching chemistry). Any remaining photoresist on the spacer material 115 and between the patterned structures 110 can be used with a wet cleaning or a dry etching process, for the photoresist layer 200 is selective, detached (removed). 4 shows the patterned structures 110 and the spacer material 115 upon completion of an etchback process and a wet cleaning or dry etching process, in accordance with some embodiments.

In einigen Ausführungsformen kann ein zweites Rückätzverfahren das Abstandshaltermaterial 115 von horizontalen Flächen entfernen, bis eine obere Fläche der gemusterten Strukturen 110 und eine obere Fläche des Substrats 120 freigelegt sind. In einigen Ausführungsformen wird das Abstandshaltermaterial 115 nicht auf vertikalen Flächen der gemusterten Strukturen 100 geätzt, wie in 5 gezeigt ist.In some embodiments, a second etch back process may include the spacer material 115 Remove from horizontal surfaces until an upper surface of the patterned structures 110 and an upper surface of the substrate 120 are exposed. In some embodiments, the spacer material becomes 115 not on vertical surfaces of the patterned structures 100 etched, as in 5 is shown.

In einigen Ausführungsformen kann die dielektrische Schicht 300 ein Stapel sein, der zwei oder mehr Schichten umfasst. Beispielhaft und nicht einschränkend kann eine dielektrische Stapelschicht umfassen: (i) eine dünne untere Schicht (z. B. von bis zu etwa 6 nm), die über der Photoresistschicht 200 und der Hartmaskenschicht 205 abgeschieden ist und konform die freiliegenden Oberflächen in der einen oder den mehreren Photoresistöffnungen bedeckt; und (ii) eine dickere obere Schicht (z. B. von bis zu etwa 500 nm), die über der unteren Schicht abgeschieden wird, um die eine oder mehreren Öffnungen in der Photoresistschicht 200 zu füllen. In einigen Ausführungsformen wird die obere Schicht als eine Lückenfüllschicht betrachtet.In some embodiments, the dielectric layer 300 a stack comprising two or more layers. By way of example and not limitation, a dielectric stacking layer may comprise: (i) a thin bottom layer (eg, up to about 6 nm) that overlies the photoresist layer 200 and the hard mask layer 205 deposited and conformally covers the exposed surfaces in the one or more photoresist openings; and (ii) a thicker top layer (eg, up to about 500 nm) deposited over the bottom layer around the one or more openings in the photoresist layer 200 to fill. In some embodiments, the top layer is considered a gap fill layer.

6 zeigt eine Stapelkonfiguration einer dielektrischen Stapelschicht 600. Eine dielektrische Schicht 610 ist eine untere Schicht der dielektrischen Stapelschicht 600, und eine dielektrische Schicht 620 ist eine obere (oder Lückenfüll-) Schicht der dielektrischen Stapelschicht 600. In einigen Ausführungsformen kann die dielektrische Schicht 610 einen Schutz gegen Photoresistbeschädigung bieten, wenn das Abscheiden der dielektrischen Schicht 620 ein Reaktionsgas oder ein Plasma, das Sauerstoff umfasst, beinhaltet. Eine dickere untere dielektrische Schicht 610 kann einen besseren Photoresistschutz bieten als eine dünnere dielektrische Schicht. In einigen Ausführungsformen kann die untere dielektrische Schicht 610 bis zu etwa 6 nm dick sein, um einen ausreichenden Schutz des Photoresists gegen Sauerstoffschaden zu bieten. Wie zuvor erwähnt, kann ein sauerstoffbeschädigter Photoresist unter Strukturverzerrung leiden, wie beispielsweise Schrumpfung, unbeabsichtigt großen Merkmalen, Linienkantenrauhigkeit und Dickeverlust. 6 shows a stacked configuration of a dielectric stacking layer 600 , A dielectric layer 610 is a lower layer of the dielectric stacking layer 600 , and a dielectric layer 620 is an upper (or gap filling) layer of the dielectric stacking layer 600 , In some embodiments, the dielectric layer 610 provide protection against photoresist damage when depositing the dielectric layer 620 a reaction gas or a plasma comprising oxygen. A thicker lower dielectric layer 610 can provide better photoresist protection than a thinner dielectric layer. In some embodiments, the lower dielectric layer 610 up to about 6 nm thick to provide adequate protection of the photoresist against oxygen damage. As previously mentioned, an oxygen-damaged photoresist may suffer from pattern distortion such as shrinkage, unintentionally large features, line edge roughness, and thickness loss.

Der Ansatz mit „dielektrischem Stapel“ bietet eine zusätzliche Verfahrensflexibilität für die dielektrische Schicht 620 hinsichtlich der Reaktionsgase, die in dem Abscheidungsverfahren verwendet werden, und der abgeschiedenen dielektrischen Materialien. Dies liegt daran, dass die Verwendung der unteren dielektrischen Schicht 610 verhindert, dass die dielektrische Schicht 620 als die Schutzschicht wirkt; daher kann die Auswahl der Reaktionsgase so ausgedehnt werden, dass sie Gase auf Sauerstoffbasis umfasst. Somit kann die dielektrische Schicht 620 höhere Konzentrationen von Sauerstoff aufweisen als die untere dielektrische Schicht 610.The "dielectric stack" approach provides additional process flexibility for the dielectric layer 620 regarding the reaction gases used in the deposition process and the deposited dielectric materials. This is because the use of the lower dielectric layer 610 prevents the dielectric layer 620 as the protective layer acts; therefore, the selection of reaction gases can be extended to include oxygen-based gases. Thus, the dielectric layer 620 have higher concentrations of oxygen than the lower dielectric layer 610 ,

In einigen Ausführungsformen kann die dielektrische Schicht 610 mit einem Niedertemperatur-PEALD-Verfahren oder einem Niedertemperatur-PECVD-Verfahren ähnlich der dielektrischen Schicht 300 von 3 abgeschieden werden. Wie zuvor erwähnt, weisen plasmaverstärkte Verfahren im Vergleich zu ihren thermischen Gegenstücken typischerweise niedrigere Abscheidungstemperaturen auf, da das Plasma zusätzliche „Energie“ bereitstellen kann, die für die Dissoziation der Vorläufer notwendig ist. Ein ähnliches thermisches Verfahren müsste bei einer höheren Temperatur arbeiten, wenn dieselben Reaktanten verwendet werden.In some embodiments, the dielectric layer 610 with a low-temperature PEALD method or a low-temperature PECVD method similar to the dielectric layer 300 from 3 be deposited. As previously mentioned, plasma-enhanced processes typically have lower deposition temperatures compared to their thermal counterparts since the plasma can provide additional "energy" necessary for dissociation of the precursors. A similar thermal process would have to operate at a higher temperature if the same reactants are used.

In einigen Ausführungsformen kann der Vorläufer für die dielektrische Schicht 610 3DMAS, TDMAT, BTBAS oder BDEAS sein. In einigen Ausführungsformen kann Argonplasma, Stickstoffplasma oder Stickstoff-basiertes Plasma (z. B. NH3) während des Abscheidungsverfahrens verwendet werden, um die vorstehend genannten Vorläufer zu dissoziieren. In einigen Ausführungsformen kann ein Sauerstoffplasma oder ein Kohlendioxidplasma während des Abscheidungsverfahrens verwendet werden, um den Vorläufer zu dissoziieren, wenn die Schicht 200 keine Photoresistschicht oder eine sauerstoffempfindliche Schicht ist. In einigen Ausführungsformen kann die Verfahrenstemperatur während des Abscheidens unter 300 °C liegen, der Verfahrensdruck kann zwischen 0,5 und 30 Torr liegen, und die Hochfrequenz- (HF) - Leistung kann im Bereich von 5 bis 1000 Watt liegen. Bei höheren HF-Leistungseinstellungen kann die dielektrische Schicht 610 dichter und ätzresistenter werden und eine niedrigere Wachstumsrate aufweisen. Wie zuvor erwähnt, kann die Dicke der dielektrischen Schicht 610 zum Beispiel bis zu 6 nm betragen.In some embodiments, the precursor for the dielectric layer 610 3DMAS, TDMAT, BTBAS or BDEAS. In some embodiments, argon plasma, nitrogen plasma, or nitrogen-based plasma (e.g., NH 3 ) may be used during the deposition process to dissociate the foregoing precursors. In some embodiments, an oxygen plasma or a carbon dioxide plasma may be used during the deposition process to dissociate the precursor when the layer 200 is not a photoresist layer or an oxygen-sensitive layer. In some embodiments, the process temperature during deposition may be below 300 ° C, the process pressure may be between 0.5 and 30 Torr, and the high frequency (RF) power can range from 5 to 1000 Watts lie. At higher RF power settings, the dielectric layer 610 become denser and more resistant to etching and have a lower growth rate. As previously mentioned, the thickness of the dielectric layer 610 for example, up to 6 nm.

In einigen Ausführungsformen kann der Vorläufer für die dielektrische Schicht 620 3DMAS, TDMAT, BTBAS oder BDEAS sein. Trotzdem können die Reaktionsgase, die zum Dissoziieren der vorstehend erwähnten Vorläufer verwendet werden, Sauerstoff umfassen, da die darunterliegende dielektrische Schicht 610 so konfiguriert wurde, dass sie den Photoresist 200 vor Sauerstoffbeschädigung schützt. Zum Beispiel umfassen Reaktionsgase, die verwendet werden können, um während des Abscheidens der dielektrischen Schicht 620 ein Plasma zu erzeugen, Sauerstoff, Kohlendioxid und Distickstoffmonoxid, um nur einige zu nennen. In einigen Ausführungsformen kann die dielektrische Schicht 620 ein Material auf Siliziumoxidbasis sein, das Kohlenstoff, Stickstoff, Titan oder eine beliebige Kombination davon umfassen kann, abhängig von dem verwendeten Vorläufer und den Verfahrensbedingungen. Beispielhaft und nicht einschränkend kann die dielektrische Schicht 620 mit einem Niedertemperatur-PEALD-Verfahren oder einem Niedertemperatur-PECVD-Verfahren abgeschieden werden.In some embodiments, the precursor for the dielectric layer 620 3DMAS, TDMAT, BTBAS or BDEAS. Nevertheless, the reaction gases used to dissociate the aforementioned precursors may comprise oxygen because the underlying dielectric layer 610 was configured to be the photoresist 200 protects against oxygen damage. For example, reaction gases that may be used to include during the deposition of the dielectric layer 620 producing a plasma, oxygen, carbon dioxide and nitrous oxide, just to name a few. In some embodiments, the dielectric layer 620 a silica-based material, which may comprise carbon, nitrogen, titanium, or any combination thereof, depending on the precursor used and the process conditions. By way of example and not limitation, the dielectric layer 620 with a low temperature PEALD process or a low temperature PECVD process.

Alternativ kann die dielektrische Schicht 620 mit einem thermischen (z. B. Nicht-Plasma-) ALD- oder CVD-Verfahren unter Verwendung der vorstehend erwähnten sauerstoffhaltigen Reaktionsgase (z. B. Kohlendioxid und Distickstoffoxid) abgeschieden werden. In einigen Ausführungsformen können sowohl plasmaverstärkte als auch thermische Verfahren Abscheidetemperaturen unter 300 °C und Verfahrensdrücke im Bereich von 0,5 bis 30 Torr aufweisen. Wenn das Abscheiden ein Plasma beinhaltet, kann die HF-Leistung im Bereich von etwa 5 bis etwa 1000 Watt liegen. Ähnlich zu den dielektrischen Schichten 300 und 610 kann die dielektrische Schicht 620 bei höheren HF-Leistungseinstellungen dichter und ätzresistenter werden und kann eine geringere Wachstumsrate aufweisen.Alternatively, the dielectric layer 620 by a thermal (eg, non-plasma) ALD or CVD method using the aforementioned oxygen-containing reaction gases (e.g., carbon dioxide and nitrous oxide). In some embodiments, both plasma enhanced and thermal processes may include deposition temperatures below 300 ° C and process pressures in the range of 0.5 to 30 Torr. If the deposition involves a plasma, the RF power can range from about 5 until about 1000 Watts lie. Similar to the dielectric layers 300 and 610 can the dielectric layer 620 become more dense and etch-resistant at higher RF power settings and may have a lower growth rate.

Wie zuvor erwähnt, weisen plasmaverstärkte Verfahren im Vergleich zu ihren thermischen Gegenstücken typischerweise niedrigere Abscheidungstemperaturen auf, da das Plasma zusätzliche „Energie“ bereitstellen kann, die für die Dissoziation der Vorläufer notwendig ist. Ein ähnliches thermisches Verfahren müsste bei einer höheren Temperatur arbeiten, wenn die gleichen Reaktanten verwendet werden. Zum Beispiel muss ein thermisches Verfahren bei etwa 300 °C im Vergleich zu seinem plasmaverstärkten Äquivalent betrieben werden.As previously mentioned, plasma enhanced processes typically have lower deposition temperatures compared to their thermal counterparts since the plasma can provide additional "energy" necessary for dissociation of the precursors. A similar thermal process would have to operate at a higher temperature if the same reactants be used. For example, a thermal process must be operated at about 300 ° C compared to its plasma enhanced equivalent.

In einigen Ausführungsformen können die dielektrische Stapelschicht 600 und die Photoresistschicht 200, die über dem Abstandshaltermaterial 115 liegen, mit einem Rückätzverfahren entfernt werden, das Rückätzverfahren kann eine Ätzchemie verwenden, die die gleiche Selektivität für die dielektrischen Schichten 610 und 620 und für die Photoresistschicht 200 und die Hartmaskenschicht 205 aufweist. Mit anderen Worten müssen die dielektrischen Schichten und die Photoresistschicht während des Rückätzverfahrens mit der gleichen Rate geätzt werden. In einigen Ausführungsformen weist das Rückätzverfahren eine physikalische und eine chemische Ätzkomponente auf. Beispielhaft und nicht einschränkend kann die Ätzchemikalie Gase wie Tetrafluormethan (CF4) oder Trifluormethan (CHF3) und ein Inertgas wie Ar umfassen. In einigen Ausführungsformen kann die Ätzrate für die dielektrische Schicht 300 etwa 15 Å/s betragen. Sie kann jedoch in Abhängigkeit von den Rückätzverfahrensbedingungen und der Elementkonzentration der dielektrischen Schichten 610 und 620 im Bereich von 5 bis 50 Å/s liegen. In einigen Ausführungsformen kann das Rückätzverfahren auf dem Abstandshaltermaterial 115 stoppen (z. B. wenn das Abstandshaltermaterial 115 durch die Ätzchemie freigelegt ist). Aller verbleibender Photoresist auf dem Abstandshaltermaterial 115 zwischen den gemusterten Strukturen 110 kann mit einem Nassreinigungsverfahren oder einem Trockenätzverfahren, das für die Photoresistschicht 200 selektiv ist, abgelöst (entfernt) werden. 7 zeigt die gemusterten Strukturen 110 und das Abstandshaltermaterial 115 nach Abschluss eines Rückätzverfahrens und eines Nassreinigungs- oder Trockenätzvorgangs gemäß einigen Ausführungsformen.In some embodiments, the dielectric stack layer 600 and the photoresist layer 200 that over the spacer material 115 The etch back method may use an etch chemistry that has the same selectivity for the dielectric layers 610 and 620 and for the photoresist layer 200 and the hardmask layer 205 having. In other words, the dielectric layers and the photoresist layer must be etched at the same rate during the etchback process. In some embodiments, the etchback process includes a physical and a chemical etch component. By way of example and not limitation, the etch chemistry may include gases such as tetrafluoromethane (CF 4 ) or trifluoromethane (CHF 3 ) and an inert gas such as Ar. In some embodiments, the etch rate for the dielectric layer 300 approximately 15 Å / s. However, it may vary depending on the re-etching process conditions and the elemental concentration of the dielectric layers 610 and 620 In the range of 5 to 50 Å / s lie. In some embodiments, the etchback process may be performed on the spacer material 115 stop (for example, if the spacer material 115 exposed by the etching chemistry). Any remaining photoresist on the spacer material 115 between the patterned structures 110 can be done with a wet cleaning method or a dry etching method that works for the photoresist layer 200 is selective, detached (removed). 7 shows the patterned structures 110 and the spacer material 115 upon completion of an etchback process and a wet cleaning or dry etching process, in accordance with some embodiments.

In einigen Ausführungsformen und unter Bezugnahme auf 8 kann ein zweites Rückätzverfahren das Abstandshaltermaterial 115 von horizontalen Flächen entfernen, bis eine obere Fläche der gemusterten Strukturen 110 und eine obere Fläche des Substrats 120 freigelegt sind. In einigen Ausführungsformen wird das Abstandshaltermaterial 115 nicht auf vertikalen Flächen der gemusterten Strukturen 100 geätzt.In some embodiments and with reference to 8th For example, a second etch back process may be the spacer material 115 Remove from horizontal surfaces until an upper surface of the patterned structures 110 and an upper surface of the substrate 120 are exposed. In some embodiments, the spacer material becomes 115 not on vertical surfaces of the patterned structures 100 etched.

9 ist ein Flussdiagramm eines Verfahrens zum Füllen einer Öffnung mit hohem Seitenverhältnis 900 (nachfolgend als Verfahren 900 bezeichnet). Das Verfahren 900 beschreibt die Ausbildung von dielektrischen Schichten, die mit einem Niedertemperaturverfahren in Photoresistschichtstrukturen abgeschieden werden. Andere Herstellungsvorgänge können in dem Verfahren 900 durchgeführt werden und sind lediglich der Klarheit halber weggelassen. Die Ausbildung der dielektrischen Schicht ist nicht auf das beispielhafte Herstellungsverfahren 900 beschränkt. 9 FIG. 10 is a flowchart of a method of filling a high aspect ratio opening 900 (hereinafter referred to as method. FIG 900 designated). The procedure 900 describes the formation of dielectric layers deposited by a low temperature process in photoresist layer structures. Other manufacturing operations may be in the process 900 are performed and are omitted for the sake of clarity only. The formation of the dielectric layer is not based on the exemplary manufacturing method 900 limited.

Das beispielhafte Herstellungsverfahren 900 beginnt mit Vorgang 910, bei dem eine Mehrzahl von gemusterten Strukturen über einem Substrat ausgebildet werden können. Die gemusterte Struktur kann aus Silizium (z. B. amorph oder kristallin), einem Dielektrikum (z. B. Nitrid, Oxid oder Karbid), einem Metall oder einer beliebigen Kombination davon bestehen. Gemäß einigen Ausführungsformen haben die gemusterten Strukturen Seitenwände und obere Flächen. In einigen Ausführungsformen kann ein Abstandshaltermaterial über den gemusterten Strukturen liegen. Beispielhaft und nicht einschränkend kann das Abstandshaltermaterial aus Titanoxid bestehen. Das Substrat kann ein teilweise hergestellter Wafer sein, der FEOL-Schichten, MOL-Schichten und einen Teil einer BEOL-Verbindungsschicht umfasst, in der Metalldurchkontaktierungen und -leitungen ausgebildet werden. In einigen Ausführungsformen kann das Substrat ein leerer Halbleiterwafer oder ein Halbleiter-auf-Isolator- (SOI) -Wafer sein. Beispielhaft und nicht einschränkend kann das Substrat aus Silizium, einem anderen elementaren Halbleiter, einem Legierungshalbleiter oder einer Kombination daraus bestehen. Alternativ kann das Substrat nicht halbleitend sein, beispielsweise Quarz. Die Struktur 100 von 1 ist eine beispielhafte Struktur mit dem Substrat 120, den gemusterten Strukturen 110 und dem Abstandshaltermaterial 115, die sich aus dem Vorgang 910 ergibt.The exemplary manufacturing process 900 starts with process 910 in which a plurality of patterned structures can be formed over a substrate. The patterned structure may be silicon (eg, amorphous or crystalline), a dielectric (eg, nitride, oxide, or carbide), a metal, or any combination thereof. According to some embodiments, the patterned structures have sidewalls and top surfaces. In some embodiments, a spacer material may overlie the patterned structures. By way of example and not limitation, the spacer material may be titanium oxide. The substrate may be a partially fabricated wafer comprising FEOL layers, MOL layers, and a portion of a BEOL bonding layer in which metal vias and lines are formed. In some embodiments, the substrate may be a blank semiconductor wafer or a semiconductor on insulator (SOI) wafer. By way of non-limiting example, the substrate may be silicon, another elemental semiconductor, an alloy semiconductor, or a combination thereof. Alternatively, the substrate may not be semiconductive, for example, quartz. The structure 100 from 1 is an exemplary structure with the substrate 120 , the patterned structures 110 and the spacer material 115 arising from the process 910 results.

In Vorgang 920 wird eine Materialschicht - z. B. die Schicht 200 von 2 - über dem Abstandshaltermaterial 115 angeordnet. In einigen Ausführungsformen kann die Schicht 200 aus einem Photoresist, einem Dielektrikum, Metall oder einem Halbleiter bestehen. Beispielhaft wird die Schicht 200 im Zusammenhang mit einer Photoresistschicht beschrieben. Andere Materialschichten mit Öffnungen mit hohem Seitenverhältnis können verwendet werden, wie beispielsweise Dielektrika, Metalle und Halbleiter. Diese anderen Arten von Materialschichten liegen im Geist und Umfang dieser Offenbarung. Unter Bezugnahme auf 2 kann die Photoresistschicht 200 aus einer organischen Verbindung bestehen, die für Beschädigungen durch ein nachfolgendes Verfahren anfällig ist, das Sauerstoff als Reaktionsgas oder in Form eines Plasmas umfasst. In einigen Ausführungsformen kann die Photoresistschicht 200 durch eine dünne Hartmaskenschicht 205 mit einer Dicke bedeckt sein, die im Bereich von 20 bis 40 nm liegen kann. In einigen Ausführungsformen kann die Hartmaskenschicht 205 zum Beispiel Silizium, Sauerstoff und Kohlenstoff umfassen.In process 920 is a material layer -. B. the layer 200 from 2 over the spacer material 115 arranged. In some embodiments, the layer 200 consist of a photoresist, a dielectric, metal or a semiconductor. The layer becomes exemplary 200 described in the context of a photoresist layer. Other high aspect ratio material layers may be used, such as dielectrics, metals, and semiconductors. These other types of material layers are within the spirit and scope of this disclosure. With reference to 2 can the photoresist layer 200 consist of an organic compound which is susceptible to damage by a subsequent process comprising oxygen as the reaction gas or in the form of a plasma. In some embodiments, the photoresist layer may 200 through a thin hard mask layer 205 covered in a thickness ranging from 20 to 40 nm can lie. In some embodiments, the hard mask layer 205 For example, silicon, oxygen and carbon.

In Vorgang 930 wird mindestens eine Öffnung in der Photoresistschicht ausgebildet, um einen Teil des Abstandshaltermaterials 115 über der Mehrzahl von gemusterten Strukturen 110 und dem Substrat 120 freizulegen. Unter Bezugnahme auf 2 wird die Photoresistschicht 200 gemustert und die Öffnungen 210 können ausgebildet werden, um einen Teil des Abstandshaltermaterials 115 über den gemusterten Strukturen 110 und dem Substrat 120 freizulegen. Mehr als zwei Öffnungen, die den Öffnungen 210 ähneln, sind in der Photoresistschicht 200 möglich. Zum Beispiel können verschiedene Bereiche der Photoresistschicht 200 durch Belichten der Bereiche mit UV- oder EUV-Licht gemäß einer Photomaskenstruktur gemustert werden. Ein Nachbelichtungs-Backen kann durchgeführt werden, um chemische Reaktionen in dem Photoresist auszulösen, um die Löslichkeit der belichteten Bereiche des Photoresists zu ändern. Bei einem Negativ-Photoresist werden belichtete Bereiche vernetzt (gehärtet) und werden in einer Entwicklerlösung unlöslich. Bei einem Positiv-Photoresist werden die nicht belichteten Bereiche vernetzt (gehärtet) und werden in einer Entwicklerlösung unlöslich. Daher werden für einen Negativ-Photoresist die belichteten Bereiche nicht entfernt. Und in einen Positiv-Photoresist werden die belichteten Bereiche entfernt. Die Photoresistschicht 200 kann gemäß einigen Ausführungsformen entweder ein Positiv- oder ein Negativ-Photoresist sein.In process 930 At least one opening in the photoresist layer is formed around a portion of the spacer material 115 over the majority of patterned structures 110 and the substrate 120 expose. With reference to 2 becomes the photoresist layer 200 patterned and the openings 210 may be formed to a portion of the spacer material 115 over the patterned structures 110 and the substrate 120 expose. More than two openings, the openings 210 are in the photoresist layer 200 possible. For example, different areas of the photoresist layer 200 by patterning the areas with UV or EUV light according to a photomask structure. Post-exposure baking may be performed to initiate chemical reactions in the photoresist to alter the solubility of the exposed areas of the photoresist. In a negative photoresist, exposed areas are crosslinked (hardened) and become insoluble in a developing solution. In a positive photoresist, the unexposed areas are crosslinked (hardened) and become insoluble in a developing solution. Therefore, for a negative photoresist, the exposed areas are not removed. And in a positive photoresist, the exposed areas are removed. The photoresist layer 200 may be either a positive or a negative photoresist according to some embodiments.

Nach der Belichtung wird die Photoresistschicht entwickelt. Während des Entwicklungsschritts wird die Photoresistschicht einer Entwicklerlösung ausgesetzt, die nicht vernetzte Bereiche des Photoresists auflöst. Nach dem Aussetzen mit der Entwicklerlösung wird der Wafer mit deionisiertem Wasser (DI) gespült und getrocknet. Ein Nachentwicklungs-Ausheizen (ein Hartbacken) wird durchgeführt, um jegliches restliches Lösungsmittel zu verdampfen und die Photoresistschicht zu härten. Die nominalen Härtungstemperaturen für Positiv- und Negativ-Resists können bei etwa 130 °C bzw. 150 °C liegen.After exposure, the photoresist layer is developed. During the development step, the photoresist layer is exposed to a developer solution which dissolves uncrosslinked areas of the photoresist. After exposure to the developer solution, the wafer is rinsed with deionized water (DI) and dried. Post-bake annealing is performed to evaporate any residual solvent and to cure the photoresist layer. The nominal cure temperatures for positive and negative resists may be about 130 ° C and 150 ° C, respectively.

In einigen Ausführungsformen ist eine Mehrzahl von Öffnungen ähnlich der Öffnung 210 in verschiedenen Bereichen des Wafers möglich. In einigen Ausführungsformen können Öffnungen, die der Öffnung 210 ähneln, ein Seitenverhältnis von etwa 10:1 aufweisen. Dies bedeutet, dass eine Öffnung eine Tiefe von etwa 150 nm und eine Bodenbreite von etwa 15 nm aufweisen kann. In einigen Ausführungsformen können Öffnungen, die der Öffnung 210 ähneln, ein Seitenverhältnis von mehr als 10:1 (z. B. 20:1) aufweisen. Das Seitenverhältnis ist definiert als das Verhältnis der Tiefe zur Breite der Öffnung.In some embodiments, a plurality of openings are similar to the opening 210 possible in different areas of the wafer. In some embodiments, openings may be that of the opening 210 similar, an aspect ratio of about 10 Have: 1. This means that an opening can have a depth of about 150 nm and a bottom width of about 15 nm. In some embodiments, openings may be that of the opening 210 have an aspect ratio greater than 10: 1 (eg, 20: 1). The aspect ratio is defined as the ratio of the depth to the width of the aperture.

In Vorgang 940 wird ein Material - z. B. die dielektrische Schicht 300 von 3 - über der Photoresistschicht abgeschieden, um mindestens eine Öffnung zu füllen. Das Abscheidungsverfahren wird bei einer niedrigen Temperatur durchgeführt. Mit Bezug auf 3 wird die dielektrische Schicht 300 über der Photoresistschicht 200 und der Hartmaske 205 abgeschieden, um die Öffnung 210 zu füllen. Beispielhaft und nicht einschränkend kann die Dicke der dielektrischen Schicht 300 bis zu etwa 500 nm betragen. In einigen Ausführungsformen kann die Schicht 300 mit einem Niedertemperatur-PEALD- oder einem Niedertemperatur-PECVD-Verfahren abgeschieden werden. In einigen Ausführungsformen kann die Verfahrenstemperatur während der Abscheidung unter 300 °C liegen, der Druck kann im Bereich von 0,5 bis 30 Torr liegen und die Hochfrequenz- (HF) -Leistung kann im Bereich von etwa 5 bis etwa 1000 Watt liegen. Bei höheren HF-Leistungseinstellungen kann die dielektrische Schicht 300 dichter und ätzresistenter werden und eine niedrigere Wachstumsrate aufweisen. Gemäß einigen Ausführungsformen kann das Plasma abhängig von der Plasmareaktorkonfiguration entweder direkt oder entfernt sein.In process 940 is a material -. B. the dielectric layer 300 from 3 deposited over the photoresist layer to fill at least one opening. The deposition process is carried out at a low temperature. Regarding 3 becomes the dielectric layer 300 over the photoresist layer 200 and the hard mask 205 deposited to the opening 210 to fill. By way of example and not limitation, the thickness of the dielectric layer 300 up to about 500 nm. In some embodiments, the layer 300 be deposited with a low temperature PEALD or a low temperature PECVD method. In some embodiments, the process temperature during deposition may be below 300 ° C, the pressure may be in the range of 0.5 to 30 Torr lie and the high frequency (HF) power can be in the range of about 5 until about 1000 Watts lie. At higher RF power settings, the dielectric layer 300 become denser and more resistant to etching and have a lower growth rate. According to some embodiments, the plasma may be either direct or remote, depending on the plasma reactor configuration.

Wie oben beschrieben, weisen plasmaverstärkte Verfahren im Vergleich zu ihren thermischen Gegenstücken niedrigere Abscheidungstemperaturen auf, da das Plasma zusätzliche „Energie“ bereitstellen kann, die für die Dissoziation der Vorstufe notwendig ist. Ein ähnliches thermisches Verfahren müsste bei einer höheren Temperatur arbeiten, wenn die gleichen Reaktanten verwendet werden.As described above, plasma enhanced processes have lower deposition temperatures compared to their thermal counterparts since the plasma can provide additional "energy" necessary for the dissociation of the precursor. A similar thermal process would have to operate at a higher temperature if the same reactants are used.

Alternativ kann ein dielektrischer Stapel in der Öffnung 210 abgeschieden werden. Unter Bezugnahme auf 6 kann die dielektrische Stapelschicht 600 zum Beispiel eine untere dielektrische Schicht 610 und ein oberes (oder Lückenfüll-) Schichtdielektrikum 620 umfassen. In einigen Ausführungsformen kann die dielektrische Schicht 610 einen Schutz gegen Photoresistbeschädigung bieten, wenn das Abscheiden der dielektrischen Schicht 620 ein Reaktionsgas oder ein Plasma, das Sauerstoff umfasst, beinhaltet. Eine dickere untere dielektrische Schicht 610 kann einen besseren Photoresistschutz bieten als eine dünnere dielektrische Schicht. In einigen Ausführungsformen kann die untere dielektrische Schicht 610 bis zu etwa 6 nm dick sein, um einen ausreichenden Schutz des Photoresists gegen Sauerstoffschaden zu bieten.Alternatively, a dielectric stack may be in the opening 210 be deposited. With reference to 6 may be the dielectric stacking layer 600 for example, a lower dielectric layer 610 and an upper (or gap-filling) layer dielectric 620 include. In some embodiments, the dielectric layer 610 provide protection against photoresist damage when depositing the dielectric layer 620 a reaction gas or a plasma comprising oxygen. A thicker lower dielectric layer 610 can provide better photoresist protection than a thinner dielectric layer. In some embodiments, the lower dielectric layer 610 up to about 6 nm thick to provide adequate protection of the photoresist against oxygen damage.

Der obige Ansatz mit „dielektrischem Stapel“ bietet zusätzliche Verfahrensflexibilität für die dielektrische Schicht 620 hinsichtlich der Reaktionsgase, die in dem Abscheidungsverfahren verwendet werden, und der abgeschiedenen dielektrischen Materialien. Daher kann die dielektrische Schicht 620 Sauerstoff umfassen.The "dielectric stack" approach above provides additional process flexibility for the dielectric layer 620 regarding the reaction gases used in the deposition process and the deposited dielectric materials. Therefore, the dielectric layer 620 Include oxygen.

In einigen Ausführungsformen kann die dielektrische Schicht 610 mit einem Niedertemperatur-PEALD-Verfahren oder einem Niedertemperatur-PECVD-Verfahren ähnlich der dielektrischen Schicht 300 von 3 abgeschieden werden. Wie zuvor erwähnt, weisen plasmaverstärkte Verfahren im Vergleich zu ihren thermischen Gegenstücken typischerweise niedrigere Abscheidungstemperaturen auf, da das Plasma zusätzliche „Energie“ bereitstellen kann, die für die Dissoziation der Vorläufer notwendig ist. Ein ähnliches thermisches Verfahren müsste bei einer höheren Temperatur arbeiten, wenn dieselben Reaktanten verwendet werden.In some embodiments, the dielectric layer 610 with a low-temperature PEALD method or a low-temperature PECVD method similar to the dielectric layer 300 from 3 be deposited. As mentioned previously, plasma-enhanced processes in the Compared to their thermal counterparts, typically lower deposition temperatures, because the plasma can provide additional "energy" necessary for the dissociation of the precursors. A similar thermal process would have to operate at a higher temperature if the same reactants are used.

In einigen Ausführungsformen kann der Vorläufer für die dielektrische Schicht 610 3DMAS, TDMAT, BTBAS oder BDEAS sein. In einigen Ausführungsformen kann Argonplasma, Stickstoffplasma oder Stickstoff-basiertes Plasma (z. B. NH3) während des Abscheidungsverfahrens verwendet werden, um die vorstehend genannten Vorläufer zu dissoziieren. In einigen Ausführungsformen kann ein Sauerstoffplasma oder ein Kohlendioxidplasma während des Abscheidungsverfahrens verwendet werden, um den Vorläufer zu dissoziieren, wenn die Schicht 200 keine Photoresistschicht oder sauerstoffempfindliche Schicht ist. In einigen Ausführungsformen kann die Verfahrenstemperatur während des Abscheidens unter 300 °C liegen, der Verfahrensdruck kann zwischen 0,5 und 30 Torr liegen, und die Hochfrequenz- (HF) - Leistung kann im Bereich von 5 bis 1000 Watt liegen. Bei höheren HF-Leistungseinstellungen kann die dielektrische Schicht 610 dichter und ätzresistenter werden und eine niedrigere Wachstumsrate aufweisen. Wie zuvor erwähnt, kann die Dicke der dielektrischen Schicht 610 zum Beispiel bis zu 6 nm betragen.In some embodiments, the precursor for the dielectric layer 610 3DMAS, TDMAT, BTBAS or BDEAS. In some embodiments, argon plasma, nitrogen plasma, or nitrogen-based plasma (e.g., NH 3 ) may be used during the deposition process to dissociate the foregoing precursors. In some embodiments, an oxygen plasma or a carbon dioxide plasma may be used during the deposition process to dissociate the precursor when the layer 200 is not a photoresist layer or oxygen-sensitive layer. In some embodiments, the process temperature during deposition may be below 300 ° C, the process pressure may be between 0.5 and 30 Torr, and the high frequency (RF) power can range from 5 to 1000 Watts lie. At higher RF power settings, the dielectric layer 610 become denser and more resistant to etching and have a lower growth rate. As previously mentioned, the thickness of the dielectric layer 610 for example, up to 6 nm.

In einigen Ausführungsformen kann der Vorläufer für die dielektrische Schicht 620 3DMAS, TDMAT, BTBAS oder BDEAS sein. Trotzdem können die Reaktionsgase, die zum Dissoziieren der vorstehend erwähnten Vorläufer verwendet werden, Sauerstoff umfassen, da die darunterliegende dielektrische Schicht 610 so konfiguriert wurde, dass sie den Photoresist 200 vor Sauerstoffbeschädigung schützt. Zum Beispiel umfassen Reaktionsgase, die verwendet werden können, um während des Abscheidens der dielektrischen Schicht 620 ein Plasma zu erzeugen, Sauerstoff, Kohlendioxid und Distickstoffmonoxid, um nur einige zu nennen. In einigen Ausführungsformen kann die dielektrische Schicht 620 ein Material auf Siliziumoxidbasis sein, das Kohlenstoff, Stickstoff, Titan oder eine beliebige Kombination davon umfassen kann, abhängig von dem verwendeten Vorläufer und den Verfahrensbedingungen. Beispielhaft und nicht einschränkend kann die dielektrische Schicht 620 mit einem Niedertemperatur-PEALD-Verfahren oder einem Niedertemperatur-PECVD-Verfahren abgeschieden werden.In some embodiments, the precursor for the dielectric layer 620 3DMAS, TDMAT, BTBAS or BDEAS. Nevertheless, the reaction gases used to dissociate the aforementioned precursors may comprise oxygen because the underlying dielectric layer 610 was configured to be the photoresist 200 protects against oxygen damage. For example, reaction gases that may be used to include during the deposition of the dielectric layer 620 producing a plasma, oxygen, carbon dioxide and nitrous oxide, just to name a few. In some embodiments, the dielectric layer 620 a silica-based material, which may comprise carbon, nitrogen, titanium, or any combination thereof, depending on the precursor used and the process conditions. By way of example and not limitation, the dielectric layer 620 with a low temperature PEALD process or a low temperature PECVD process.

Alternativ kann die dielektrische Schicht 620 mit einem thermischen (z. B. Nicht-Plasma-) ALD- oder CVD-Verfahren unter Verwendung der vorstehend erwähnten sauerstoffhaltigen Reaktionsgase (z. B. Kohlendioxid und Distickstoffoxid) abgeschieden werden. In einigen Ausführungsformen können sowohl plasmaverstärkte als auch thermische Verfahren Abscheidetemperaturen unter etwa 300 °C und Verfahrensdrücke in dem Bereich von 0,5 bis 30 Torr aufweisen. Wenn das Abscheiden ein Plasma beinhaltet, kann die HF-Leistung im Bereich von etwa 5 bis etwa 1000 Watt liegen. Ähnlich zu den dielektrischen Schichten 300 und 610 kann die dielektrische Schicht 620 bei höheren HF-Leistungseinstellungen dichter und ätzresistenter werden und kann eine geringere Wachstumsrate aufweisen.Alternatively, the dielectric layer 620 by a thermal (eg, non-plasma) ALD or CVD method using the aforementioned oxygen-containing reaction gases (e.g., carbon dioxide and nitrous oxide). In some embodiments, both plasma enhanced and thermal processes may include deposition temperatures below about 300 ° C and process pressures in the range of 0.5 to 30 Torr. If the deposition involves a plasma, the RF power can range from about 5 until about 1000 watts are. Similar to the dielectric layers 300 and 610 can the dielectric layer 620 become more dense and etch-resistant at higher RF power settings and may have a lower growth rate.

In Schritt 950 werden die Materialien oberhalb des Abstandshaltermaterials geätzt. Bezugnehmend auf 3 werden die dielektrische Schicht 300, die Photoresistschicht 200 und die Hartmaske 205 über dem Abstandshaltermaterial 115 entfernt. Zum Beispiel kann das Entfernen mit einem Rückätzverfahren erreicht werden, bei dem die dielektrische Schicht 300, die Photoresistschicht 200 und die Hartmaske 205 gleichzeitig entfernt werden. Folglich sollte die Rückätzselektivität für die zu entfernenden Materialien gleich sein. Beispielhaft und nicht einschränkend kann die Ätzchemie Gase wie CF4 oder CHF3 und ein Inertgas wie Ar umfassen. In einigen Ausführungsformen kann die Ätzrate für die dielektrische Schicht 300 abhängig von den Rückätzverfahrensbedingungen einen Bereich von etwa 5 Ä/s Bis 50 Å/s (z. B. etwa 15 Å/s) aufweisen. In einigen Ausführungsformen kann das Rückätzverfahren auf dem Abstandshaltermaterial 115 stoppen (z. B. wenn das Abstandshaltermaterial 115 durch die Ätzchemie freigelegt ist).In step 950 The materials are etched above the spacer material. Referring to 3 become the dielectric layer 300 , the photoresist layer 200 and the hard mask 205 over the spacer material 115 away. For example, the removal may be achieved with an etch-back process in which the dielectric layer 300 , the photoresist layer 200 and the hard mask 205 be removed at the same time. Consequently, the etch selectivity should be the same for the materials to be removed. By way of example and not limitation, the etch chemistry may include gases such as CF 4 or CHF 3 and an inert gas such as Ar. In some embodiments, the etch rate for the dielectric layer 300 depending on the re-etching process conditions, range from about 5 A / s to 50 A / s (e.g., about 15 A / s). In some embodiments, the etchback process may be performed on the spacer material 115 stop (for example, if the spacer material 115 exposed by the etching chemistry).

In Vorgang 960 kann jeder verbleibende Photoresist entfernt werden. Zum Beispiel kann unter Bezugnahme auf die 4 und 7 der Photoresist 200 über dem Abstandshaltermaterial 115 zwischen den gemusterten Strukturen 110 mit einem Nassreinigungsverfahren oder einem Trockenätzverfahren, das für die Photoresistschicht 200 selektiv ist, abgelöst (entfernt) werden. In einigen Ausführungsformen kann ein zweites Rückätzverfahren das Abstandshaltermaterial 115 über den horizontalen Flächen der gemusterten Strukturen 110 und des Substrats 120 entfernen. Die 5 und 8 zeigen die gemusterten Strukturen 110 und das Abstandshaltermaterial 115 nach Abschluss eines Rückätzverfahrens und eines Nassreinigungs- oder Trockenätzvorgangs gemäß einigen Ausführungsformen.In process 960 Any remaining photoresist can be removed. For example, with reference to the 4 and 7 the photoresist 200 over the spacer material 115 between the patterned structures 110 with a wet cleaning method or a dry etching method suitable for the photoresist layer 200 is selective, detached (removed). In some embodiments, a second etch back process may include the spacer material 115 over the horizontal surfaces of the patterned structures 110 and the substrate 120 remove. The 5 and 8th show the patterned structures 110 and the spacer material 115 upon completion of an etchback process and a wet cleaning or dry etching process, in accordance with some embodiments.

Die vorliegende Offenbarung betrifft dielektrische Filme oder Stapel von Filmen, die auf einer Photoresistschicht abgeschieden werden können, ohne das Photoresistmaterial zu beschädigen, und die Merkmale mit Seitenverhältnissen von mehr als 10:1 ausfüllen können. Gemäß einigen Ausführungsformen können solche dielektrischen Filme oder Stapel von Filmen mit einem konformen, plasmaverstärkten oder thermischen Abscheidungsverfahren bei niedriger Temperatur (z. B. unter 300°C) mit einem Verfahrensdruckbereich zwischen 0,5 bis 30 Torr abgeschieden werden. Zusätzlich können die dielektrischen Filme durch ein Rückätzverfahren unter Verwendung einer Mischung von beispielsweise CF4, CHF3 und Inertgasen mit einer guten Ätzselektivität und akzeptablen Ätzraten im Bereich von 5 bis 50 Å/s entfernt werden (z. B. etwa 15 Å/s), abhängig von den Rückätzverfahrensbedingungen.The present disclosure relates to dielectric films or stacks of films which can be deposited on a photoresist layer without damaging the photoresist material and which can fill features with aspect ratios greater than 10: 1. According to some embodiments, such dielectric films or stacks of films may be incorporated with a conformal plasma enhanced or thermal deposition process low temperature (eg below 300 ° C) with a process pressure range between 0.5 to 30 Torr to be deposited. In addition, the dielectric films may be removed by an etchback process using a mixture of, for example, CF 4 , CHF 3, and inert gases having good etch selectivity and acceptable etch rates in the range of 5 to 50 Å / sec (eg, about 15 Å / sec). , depending on the re-etching process conditions.

Gemäß einigen Ausführungsformen kann der dielektrische Film umfassen: (i) eine dünne untere dielektrische Schicht (z. B. von bis zu 6 nm), die direkt auf der Photoresistschicht mit einem konformen, plasmaverstärkten Abscheidungsverfahren bei niedriger Temperatur (z. B. unter 300°C) mit einem Verfahrensdruckbereich zwischen 0,5 und 30 Torr abgeschieden wird; und (ii) eine dickere obere lückenfüllende dielektrische Schicht (z. B. bis zu 500 nm), die über der ersten dielektrischen Schicht mit einem konformen, plasmaverstärkten oder thermischen Abscheidungsverfahren bei niedriger Temperatur (z. B. unter 300°C) mit einem Verfahrensdruckbereich zwischen 0,5 bis 30 Torr abgeschieden wird.According to some embodiments, the dielectric film may include: (i) a thin bottom dielectric layer (eg, up to 6 nm) deposited directly on top of the photoresist layer with a conformal plasma enhanced low temperature deposition process (eg, below 300 nm) ° C) with a process pressure range between 0.5 and 30 Torr is deposited; and (ii) a thicker top gap filling dielectric layer (eg, up to 500 nm) overlying the first dielectric layer with a conformal, plasma enhanced, or low temperature thermal deposition (e.g., less than 300 ° C) method Process pressure range between 0.5 to 30 Torr is deposited.

Ein Ansatz mit „dielektrischem Stapel“ bietet zusätzliche Verfahrensflexibilität für die lückenfüllende dielektrische Schicht in Bezug auf die verwendeten Reaktionsgase und die abgeschiedenen dielektrischen Materialien. Dies liegt daran, dass die Verwendung der unteren dielektrischen Schicht als Schutzschicht die obere lückenfüllende dielektrische Schicht von dieser Rolle trennt, und die Auswahl der Reaktionsgase kann erweitert werden, um Gase auf Sauerstoffbasis einzuschließen. Somit kann die lückenfüllende dielektrische Schicht im Vergleich zur unteren dielektrischen Schicht höhere Konzentrationen an Sauerstoff umfassen.A "dielectric stack" approach provides additional process flexibility for the gap-filling dielectric layer with respect to the reaction gases and deposited dielectric materials used. This is because the use of the lower dielectric layer as a protective layer separates the upper gap-filling dielectric layer from this roller, and the selection of the reaction gases can be extended to include oxygen-based gases. Thus, the gap-filling dielectric layer may comprise higher concentrations of oxygen compared to the lower dielectric layer.

Darüber hinaus kann die Verwendung von plasmaverstärkten Verfahren vorteilhaft sein, da sie die erforderliche Abscheidungstemperatur im Vergleich zu thermischen Verfahrenen senkt. Das Plasma kann zusätzliche „Energie“ bereitstellen, die für die Dissoziation des Vorläufers notwendig ist. Ein ähnliches thermisches Verfahren müsste bei einer höheren Temperatur (z. B. nahe 300 °C oder mehr) arbeiten, wenn dieselben Reaktanten verwendet werden. In einigen Ausführungsformen kann der dielektrische Stapel mit einem geeigneten Rückätzverfahren entfernt werden, das ähnliche Ätzraten für die dielektrischen Schichten und die Photoresistschicht aufweist. Als Beispiel und nicht einschränkend kann die Rückätzchemie Gase wie CF4 oder CHF3 und ein Inertgas wie Ar umfassen. In einigen Ausführungsformen kann die Ätzrate für die dielektrischen Schichten in einem Bereich von 5 bis 50 Å/s (z. B. 15 Å/s) liegen, abhängig von den Rückätzverfahrensbedingungen.In addition, the use of plasma enhanced processes may be advantageous as it reduces the required deposition temperature as compared to thermal processes. The plasma can provide additional "energy" necessary for the dissociation of the precursor. A similar thermal process would have to operate at a higher temperature (eg, near 300 ° C or more) if the same reactants are used. In some embodiments, the dielectric stack may be removed with a suitable etchback process having similar etch rates for the dielectric layers and the photoresist layer. By way of example and not limitation, the backhaul chemistry may include gases such as CF 4 or CHF 3 and an inert gas such as Ar. In some embodiments, the etch rate for the dielectric layers may range from 5 to 50 Å / sec (e.g., 15 Å / sec), depending on the etch back process conditions.

In einigen Ausführungsformen umfasst ein Verfahren eine Mehrzahl von gemusterten Strukturen, die über einem Substrat ausgebildet sind, und ein Abstandshaltermaterial, das über der Mehrzahl von gemusterten Strukturen ausgebildet ist. Eine Materialschicht, die über dem Abstandshaltermaterial angeordnet ist, wird mit einer oder mehreren Öffnungen in der Materialschicht ausgebildet, um einen Teil des Abstandshaltermaterials freizulegen. Ein Dielektrikum wird über der Materialschicht abgeschieden, um die eine oder mehreren Öffnungen zu füllen. Weiter werden das Dielektrikum und die Materialschicht geätzt, bis das Abstandshaltermaterial freigelegt ist.In some embodiments, a method includes a plurality of patterned structures formed over a substrate and a spacer material formed over the plurality of patterned structures. A layer of material disposed over the spacer material is formed with one or more openings in the layer of material to expose a portion of the spacer material. A dielectric is deposited over the material layer to fill the one or more openings. Further, the dielectric and the material layer are etched until the spacer material is exposed.

In einigen Ausführungsformen umfasst ein Verfahren eine Mehrzahl von gemusterten Strukturen, die über einem Substrat ausgebildet sind, wobei jede der Mehrzahl von gemusterten Strukturen eine obere Fläche aufweist. Ein Abstandshaltermaterial wird über den gemusterten Strukturen ausgebildet und eine Photoresistschicht wird über dem Abstandshaltermaterial angeordnet. Eine Öffnung wird in der Photoresistschicht ausgebildet, um einen Teil des Abstandshaltermaterials freizulegen, und ein Materialstapel wird in der Öffnung abgeschieden. Der Materialstapel umfasst eine untere Schicht und eine obere Schicht, wobei das Abscheiden des Materialstapels das konforme Abscheiden der unteren Schicht auf der Photoresistschicht; und das Abscheiden der oberen Schicht umfasst, um die Öffnung zu füllen. Der Materialstapel und die Photoresistschicht werden geätzt, bis das Abstandshaltermaterial freigelegt ist. Weiter wird das Abstandshaltermaterial über der oberen Fläche der Mehrzahl von gemusterten Strukturen und über dem Substrat entfernt.In some embodiments, a method includes a plurality of patterned structures formed over a substrate, wherein each of the plurality of patterned structures has an upper surface. A spacer material is formed over the patterned structures and a photoresist layer is disposed over the spacer material. An opening is formed in the photoresist layer to expose a portion of the spacer material and a stack of material is deposited in the opening. The stack of material comprises a lower layer and an upper layer, wherein the deposition of the stack of material conformally deposits the lower layer on the photoresist layer; and depositing the upper layer to fill the opening. The stack of material and the photoresist layer are etched until the spacer material is exposed. Further, the spacer material is removed over the top surface of the plurality of patterned structures and over the substrate.

In einigen Ausführungsformen umfasst ein Verfahren eine Mehrzahl von gemusterten Strukturen, die über einem Substrat ausgebildet sind, und ein Abstandshaltermaterial, das über der Mehrzahl von gemusterten Strukturen ausgebildet ist. Ein erster Materialstapel wird über dem Abstandhaltermaterial angeordnet, wobei der erste Materialstapel eine untere Photoresistschicht, die das Abstandhaltermaterial bedeckt, und eine obere Hartmaskenschicht umfasst. Eine Öffnung wird mit einem Seitenverhältnis von mehr als 10 zu 1 in dem ersten Materialstapel ausgebildet, um einen Teil des Abstandshaltermaterials freizulegen. Ein zweiter Materialstapel wird über dem ersten Materialstapel abgeschieden, um die Öffnung zu füllen. Der zweite Materialstapel umfasst eine zweite untere Schicht, die auf der unteren Photoresistschicht und der oberen Hartmaskenschicht ausgebildet ist, und eine zweite obere Schicht, die die Öffnung füllt.In some embodiments, a method includes a plurality of patterned structures formed over a substrate and a spacer material formed over the plurality of patterned structures. A first stack of material is disposed over the spacer material, wherein the first stack of material comprises a lower photoresist layer covering the spacer material and an upper hard mask layer. An opening is made with an aspect ratio of more than 10 to 1 formed in the first stack of material to expose a portion of the spacer material. A second stack of material is deposited over the first stack of material to fill the opening. The second stack of material comprises a second lower layer formed on the lower photoresist layer and the upper hardmask layer, and a second upper layer filling the opening.

Das Vorstehende beschreibt Merkmale von Ausführungsformen, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um weitere Verfahren und Strukturen zu entwerfen oder zu modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.The foregoing describes features of embodiments so that those skilled in the art can better understand the aspects of the present disclosure. One skilled in the art should appreciate that the present disclosure may be readily utilized as a basis for designing or modifying other methods and structures to achieve the same objects and / or to realize the same advantages of the embodiments introduced herein. It should also be understood by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the present disclosure and that various changes, substitutions, and alterations can be made herein without departing from the spirit and scope of the present disclosure.

Claims (20)

Verfahren, umfassend: Ausbilden einer Mehrzahl von gemusterten Strukturen über einem Substrat; Ausbilden eines Abstandshaltermaterials über der Mehrzahl von gemusterten Strukturen; Anordnen einer Materialschicht über dem Abstandshaltermaterial; Ausbilden einer oder mehrerer Öffnungen in der Materialschicht, um einen Teil des Abstandshaltermaterials freizulegen; Abscheiden eines Dielektrikums über der Materialschicht, um die eine oder mehreren Öffnungen zu füllen; und Ätzen des Dielektrikums und der Materialschicht, bis das Abstandshaltermaterial freigelegt ist.Method, comprising: Forming a plurality of patterned structures over a substrate; Forming a spacer material over the plurality of patterned structures; Placing a layer of material over the spacer material; Forming one or more openings in the layer of material to expose a portion of the spacer material; Depositing a dielectric over the layer of material to fill the one or more openings; and Etching the dielectric and the material layer until the spacer material is exposed. Verfahren nach Anspruch 1, ferner umfassend: Entfernen des Abstandshaltermaterials über einer oberen Fläche der Mehrzahl von gemusterten Strukturen.Method according to Claim 1 , further comprising: removing the spacer material over an upper surface of the plurality of patterned structures. Verfahren nach Anspruch 1 oder 2, wobei das Abscheiden des Dielektrikums das Abscheiden des Dielektrikums mit einem plasmaverstärkten chemischen Gasphasenabscheidungs- (PECVD) oder einem plasmaverstärkten Atomlagenabscheidungs- (PEALD) -Verfahren umfasst.Method according to Claim 1 or 2 wherein depositing the dielectric comprises depositing the dielectric with a plasma enhanced chemical vapor deposition (PECVD) or a plasma enhanced atomic layer deposition (PEALD) method. Verfahren nach Anspruch 3, wobei das PECVD- oder PEALD-Verfahren einen Tris(dimethylamino)silan- (3DMAS), einen Tetrakis(dimethylamino)titan- (TDMAT), einen Bis(tert-butylamino)silan- (BTBAS) oder einen Bis(diethylamino)silan- (BDEAS) -Vorläufer und ein Sauerstoff-, ein Kohlendioxid-, ein Argon-, ein Stickstoff- oder ein Stickstoff-basiertes Plasma verwendet.Method according to Claim 3 wherein the PECVD or PEALD method comprises a tris (dimethylamino) silane (3DMAS), a tetrakis (dimethylamino) titanium (TDMAT), a bis (tert-butylamino) silane (BTBAS) or a bis (diethylamino) silane - (BDEAS) precursor and an oxygen, a carbon dioxide, an argon, a nitrogen or a nitrogen-based plasma used. Verfahren nach Anspruch 3 oder 4, wobei das PECVD- oder PEALD-Verfahren eine Verarbeitungstemperatur unter 300 °C aufweist.Method according to Claim 3 or 4 , wherein the PECVD or PEALD process has a processing temperature below 300 ° C. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Materialschicht einen Photoresist, ein Dielektrikum, ein Metall oder einen Halbleiter umfasst und die eine oder mehreren Öffnungen ein Seitenverhältnis von mehr als 10 zu 1 aufweisen.The method of any one of the preceding claims, wherein the material layer comprises a photoresist, a dielectric, a metal or a semiconductor and the one or more openings have an aspect ratio greater than 10 to 1. Verfahren, umfassend: Ausbilden einer Mehrzahl von gemusterten Strukturen über einem Substrat, wobei jede der Mehrzahl von gemusterten Strukturen eine obere Fläche aufweist; Ausbilden eines Abstandshaltermaterials über den gemusterten Strukturen; Anordnen einer Photoresistschicht über dem Abstandshaltermaterial; Ausbilden einer Öffnung in der Photoresistschicht, um einen Teil des Abstandshaltermaterials freizulegen; Abscheiden eines Materialstapels in der Öffnung, wobei der Materialstapel eine untere Schicht und eine obere Schicht umfasst, wobei das Abscheiden des Materialstapels umfasst: Abscheiden der unteren Schicht konform auf der Photoresistschicht; und Abscheiden der oberen Schicht, um die Öffnung zu füllen; Ätzen des Materialstapels und der Photoresistschicht, bis das Abstandshaltermaterial freigelegt ist; und Entfernen des Abstandshaltermaterials über der oberen Fläche der Mehrzahl von gemusterten Strukturen und über dem Substrat.Method, comprising: Forming a plurality of patterned structures over a substrate, each of the plurality of patterned structures having a top surface; Forming a spacer material over the patterned structures; Placing a photoresist layer over the spacer material; Forming an opening in the photoresist layer to expose a portion of the spacer material; Depositing a stack of material in the opening, the stack of material comprising a bottom layer and an top layer, the deposition of the stack of material comprising: Depositing the lower layer conforming to the photoresist layer; and Depositing the upper layer to fill the opening; Etching the stack of material and the photoresist layer until the spacer material is exposed; and Removing the spacer material over the top surface of the plurality of patterned structures and over the substrate. Verfahren nach Anspruch 7, wobei das Abscheiden der unteren Schicht das Abscheiden der unteren Schicht mit einem Tris(dimethylamino)silan- (3DMAS), einem Tetrakis(dimethylamino)titan- (TDMAT), einem Bis(tert-butylamino)silan- (BTBAS) oder einem Bis(diethylamino)silan- (BDEAS) -Vorläufer und einem Argon-, einem Stickstoff- oder einem Stickstoff-basiertes Plasma umfasst.Method according to Claim 7 wherein the deposition of the lower layer comprises depositing the lower layer with a tris (dimethylamino) silane (3DMAS), a tetrakis (dimethylamino) titanium (TDMAT), a bis (tert-butylamino) silane (BTBAS) or a bis (diethylamino) silane (BDEAS) precursor and an argon, a nitrogen or a nitrogen-based plasma. Verfahren nach Anspruch 7 oder 8, wobei das Abscheiden der oberen Schicht das Abscheiden der oberen Schicht mit einem Tris(dimethylamino)silan- (3DMAS), einem Tetrakis(dimethylamino)titan- (TDMAT), einem Bis(tert-butylamino)silan- (BTBAS) oder einem Bis(diethylamino)silan- (BDEAS) -Vorläufer und einem Sauerstoff-, einem Kohlendioxid- oder einem Distickstoffoxid-Reaktionsgas oder -Plasma umfasst.Method according to Claim 7 or 8th wherein depositing the upper layer comprises depositing the upper layer with a tris (dimethylamino) silane (3DMAS), a tetrakis (dimethylamino) titanium (TDMAT), a bis (tert-butylamino) silane (BTBAS) or a bis (diethylamino) silane (BDEAS) precursor and an oxygen, a carbon dioxide or a nitrous oxide reaction gas or plasma. Verfahren nach einem der Ansprüche 7 bis 9, wobei das Abscheiden der unteren und der oberen Schicht das Abscheiden der unteren und der oberen Schicht mit einem plasmaverstärkten chemischen Gasphasenabscheidungs- (PECVD) -Verfahren oder einem plasmaverstärkten Atomlagenabscheidungs- (PEALD) -Verfahren umfasst.Method according to one of Claims 7 to 9 wherein depositing the lower and upper layers comprises depositing the lower and upper layers by a plasma enhanced chemical vapor deposition (PECVD) method or a plasma enhanced atomic layer deposition (PEALD) method. Verfahren nach einem der Ansprüche 7 bis 9, wobei das Abscheiden der oberen Schicht das Abscheiden der oberen Schicht mit einem thermischen chemischen Gasphasenabscheidungs- (CVD) -Verfahren oder einem thermischen Atomlagenabscheidungs- (ALD) -Verfahren umfasst.Method according to one of Claims 7 to 9 wherein depositing the upper layer comprises depositing the upper layer with a thermal one chemical vapor deposition (CVD) process or thermal atomic layer deposition (ALD) process. Verfahren nach einem der Ansprüche 7 bis 11, wobei das Abscheiden der unteren und der oberen Schicht das Abscheiden der unteren und der oberen Schicht bei einer Temperatur unter 300 °C umfasst.Method according to one of Claims 7 to 11 wherein depositing the lower and upper layers comprises depositing the lower and upper layers at a temperature below 300 ° C. Verfahren, umfassend: Ausbilden einer Mehrzahl von gemusterten Strukturen über einem Substrat; Ausbilden eines Abstandshaltermaterials über der Mehrzahl von gemusterten Strukturen; Anordnen eines ersten Materialstapels über dem Abstandhaltermaterial, wobei der erste Materialstapel eine untere Photoresistschicht und eine obere Hartmaskenschicht umfasst, wobei die untere Photoresistschicht das Abstandhaltermaterial bedeckt; Ausbilden einer Öffnung mit einem Seitenverhältnis von mehr als 10 zu 1 in dem ersten Materialstapel, um einen Teil des Abstandshaltermaterials freizulegen; und Abscheiden eines zweiten Materialstapels über dem ersten Materialstapel, um die Öffnung zu füllen, wobei der zweite Materialstapel eine zweite untere Schicht und eine zweite obere Schicht umfasst, wobei die zweite untere Schicht auf der unteren Photoresistschicht und der oberen Hartmaskenschicht ausgebildet wird und wobei die zweite obere Schicht die Öffnung füllt.Method, comprising: Forming a plurality of patterned structures over a substrate; Forming a spacer material over the plurality of patterned structures; Disposing a first stack of material over the spacer material, the first stack of material comprising a lower photoresist layer and an upper hard mask layer, the lower photoresist layer covering the spacer material; Forming an opening having an aspect ratio greater than 10 to 1 in the first stack of material to expose a portion of the spacer material; and Depositing a second stack of material over the first stack of material to fill the opening, the second stack of material comprising a second lower layer and a second upper layer, the second lower layer being formed on the lower photoresist layer and the upper hardmask layer, and the second upper layer Layer fills the opening. Verfahren nach Anspruch 13, ferner umfassend: gleichzeitiges Entfernen des ersten Materialstapels und des zweiten Materialstapels, bis das Abstandshaltermaterial freigelegt ist; und Entfernen des Abstandshaltermaterials über einer oberen Fläche der Mehrzahl von gemusterten Strukturen und über dem Substrat.Method according to Claim 13 further comprising: simultaneously removing the first stack of material and the second stack of material until the spacer material is exposed; and removing the spacer material over an upper surface of the plurality of patterned structures and over the substrate. Verfahren nach Anspruch 13 oder 14, wobei das Abscheiden des zweiten Materialstapels das Abscheiden der zweiten unteren Schicht mit einem Tris(dimethylamino)silan- (3DMAS), einem Tetrakis(dimethylamino)titan- (TDMAT), einem Bis(tert-butylamino)silan- (BTBAS) oder einem Bis(diethylamino)silan-(BDEAS) -Vorläufer und einem Argon-, einem Stickstoff- oder einem Stickstoff-basierte Plasma umfasst.Method according to Claim 13 or 14 wherein depositing the second material stack comprises depositing the second lower layer with a tris (dimethylamino) silane (3DMAS), a tetrakis (dimethylamino) titanium (TDMAT), a bis (tert-butylamino) silane (BTBAS), or a Bis (diethylamino) silane (BDEAS) precursor and an argon, nitrogen or nitrogen based plasma. Verfahren nach einem der Ansprüche 13 bis 15, wobei das Abscheiden des zweiten Materialstapels das Abscheiden der zweiten oberen Schicht mit einem Tris(dimethylamino)silan- (3DMAS), einem Tetrakis(dimethylamino)titan- (TDMAT), einem Bis(tert-butylamino)silan- (BTBAS) oder einem Bis(diethylamino)silan-(BDEAS) -Vorläufer und einem Sauerstoff-, einem Kohlendioxid- oder einem Distickstoffoxid-Reaktionsgas oder -Plasma umfasst.Method according to one of Claims 13 to 15 wherein depositing the second material stack comprises depositing the second upper layer with a tris (dimethylamino) silane (3DMAS), a tetrakis (dimethylamino) titanium (TDMAT), a bis (tert-butylamino) silane (BTBAS), or a Bis (diethylamino) silane (BDEAS) precursor and an oxygen, carbon dioxide or nitrous oxide reaction gas or plasma. Verfahren nach einem der Ansprüche 13 bis 16, wobei das Abscheiden des zweiten Materialstapels das Abscheiden der zweiten unteren Schicht mit einem plasmaverstärkten chemischen Gasphasenabscheidungs- (PECVD) -Verfahren oder einem plasmaverstärkten Atomlagenabscheidungs- (PEALD) -Verfahren umfasst.Method according to one of Claims 13 to 16 wherein depositing the second material stack comprises depositing the second bottom layer by a plasma enhanced chemical vapor deposition (PECVD) method or a plasma enhanced atomic layer deposition (PEALD) method. Verfahren nach Anspruch 17, wobei das PECVD- oder das PEALD-Verfahren eine Abscheidungstemperatur unter 300 °C aufweist.Method according to Claim 17 , wherein the PECVD or the PEALD method has a deposition temperature below 300 ° C. Verfahren nach einem der Ansprüche 13 bis 18, wobei das Abscheiden des zweiten Materialstapels das Abscheiden der zweiten oberen Schicht mit einem thermischen chemischen Gasphasenabscheidungs- (CVD) -Verfahren oder Atomlagenabscheidungs- (ALD) -Verfahren umfasst.Method according to one of Claims 13 to 18 wherein depositing the second material stack comprises depositing the second upper layer by a thermal chemical vapor deposition (CVD) or atomic layer deposition (ALD) process. Verfahren nach einem der Ansprüche 13 bis 18, wobei das Abscheiden des zweiten Materialstapels das Abscheiden der zweiten oberen Schicht mit einem plasmaverstärkten chemischen Gasphasenabscheidungs- (PECVD) -Verfahren oder einem plasmaverstärkten Atomlagenabscheidungs- (PEALD) -Verfahren umfasst, wobei das PECVD- oder das PEALD-Verfahren eine Abscheidungstemperatur unter 300°C aufweist.Method according to one of Claims 13 to 18 wherein depositing the second material stack comprises depositing the second top layer with a plasma enhanced chemical vapor deposition (PECVD) method or a plasma enhanced atomic layer deposition (PEALD) method, wherein the PECVD or PEALD method has a deposition temperature below 300 ° C has.
DE102017127430.8A 2017-06-29 2017-11-21 Fill gaps with high aspect ratio Pending DE102017127430A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762526801P 2017-06-29 2017-06-29
US62/526,801 2017-06-29
US15/725,996 2017-10-05
US15/725,996 US10361112B2 (en) 2017-06-29 2017-10-05 High aspect ratio gap fill

Publications (1)

Publication Number Publication Date
DE102017127430A1 true DE102017127430A1 (en) 2019-01-03

Family

ID=64662131

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017127430.8A Pending DE102017127430A1 (en) 2017-06-29 2017-11-21 Fill gaps with high aspect ratio

Country Status (1)

Country Link
DE (1) DE102017127430A1 (en)

Similar Documents

Publication Publication Date Title
US11462408B2 (en) Method of forming an integrated circuit using a patterned mask layer
DE69934019T2 (en) MANUFACTURING METHOD FOR A SEMICONDUCTOR COMPONENT AND GATE STACK
US8846517B2 (en) Methods of forming a pattern on a substrate
US10978301B2 (en) Morphology of resist mask prior to etching
US8889559B2 (en) Methods of forming a pattern on a substrate
US10867794B2 (en) Patterning method for semiconductor devices and structures resulting therefrom
US20210217614A1 (en) Multiple patterning with selective mandrel formation
DE10341576A1 (en) Manufacture of microelectronic structure comprises forming set of openings in surface of substrate, forming film stack, exposing oxide layer and silicon layer on portion of structure, and thermally nitriding silicon layer
KR102110280B1 (en) High aspect ratio gap fill
KR20030031599A (en) Method for fabricating semiconductor device
US9171731B2 (en) Method of forming the gate with the LELE double pattern
CN101551603B (en) Method for patterning a photosensitive layer
DE60103398T2 (en) Pattern generating method using an inorganic anti-reflection layer
US8889558B2 (en) Methods of forming a pattern on a substrate
DE102017127430A1 (en) Fill gaps with high aspect ratio
DE102021110173A1 (en) IN SITU DEPOSITION AND DENSE TREATMENT FOR METAL CONTAINING RESIST LAYER
DE102021101492A1 (en) METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE
DE19937994C2 (en) Etching process for a dual damascene structuring of an insulating layer on a semiconductor structure
US20050255411A1 (en) Multiple exposure and shrink to achieve reduced dimensions
US6686129B2 (en) Partial photoresist etching
DE60119350T2 (en) Method for producing printed conductor structures
DE102020129681B4 (en) METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE
DE102017127269A1 (en) SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
DE102019120765B4 (en) METHOD FOR FORMING A SEMICONDUCTOR COMPONENT
DE10313893A1 (en) Avoiding the formation of a resist base on a TERA hard mask

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication