DE102016218821A1 - Semiconductor component, semiconductor power module for a motor vehicle and motor vehicle - Google Patents

Semiconductor component, semiconductor power module for a motor vehicle and motor vehicle Download PDF

Info

Publication number
DE102016218821A1
DE102016218821A1 DE102016218821.6A DE102016218821A DE102016218821A1 DE 102016218821 A1 DE102016218821 A1 DE 102016218821A1 DE 102016218821 A DE102016218821 A DE 102016218821A DE 102016218821 A1 DE102016218821 A1 DE 102016218821A1
Authority
DE
Germany
Prior art keywords
semiconductor
transistor
substrate
component according
transistor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102016218821.6A
Other languages
German (de)
Other versions
DE102016218821B4 (en
Inventor
Andreas Apelsmeier
Johann Asam
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Audi AG
Original Assignee
Audi AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Audi AG filed Critical Audi AG
Priority to DE102016218821.6A priority Critical patent/DE102016218821B4/en
Publication of DE102016218821A1 publication Critical patent/DE102016218821A1/en
Application granted granted Critical
Publication of DE102016218821B4 publication Critical patent/DE102016218821B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/761PN junctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/07Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
    • H01L27/0705Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type
    • H01L27/0727Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7393Insulated gate bipolar mode transistors, i.e. IGBT; IGT; COMFET
    • H01L29/7395Vertical transistors, e.g. vertical IGBT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7393Insulated gate bipolar mode transistors, i.e. IGBT; IGT; COMFET

Abstract

Halbleiterbauteil, umfassend ein Substrat (18) aus einem Halbleitermaterial, in welchem Substrat (18) Halbleiterübergänge einer Transistorstruktur (22) des Halbleiterbauteils (17) ausgebildet sind, wobei innerhalb des Substrats (18) eine das Substrat (18) in zwei Substratabschnitte (20, 21) aufteilende, elektrisch isolierende Trennschicht (19) ausgebildet ist, wobei die Halbleiterübergänge der ersten Transistorstruktur (22) in einem Substratabschnitt (20) und Halbleiterübergänge einer zweiten Transistorstruktur (35) des Halbleiterbauteils (17) im anderen Substratabschnitt (21) ausgebildet sind.Semiconductor component, comprising a substrate (18) of a semiconductor material, in which substrate (18) semiconductor junctions of a transistor structure (22) of the semiconductor device (17) are formed, wherein within the substrate (18) a substrate (18) in two substrate sections (20 , 21), the semiconductor junctions of the first transistor structure (22) in a substrate portion (20) and semiconductor junctions of a second transistor structure (35) of the semiconductor device (17) in the other substrate portion (21) are formed ,

Description

Die Erfindung betrifft ein Halbleiterbauteil, umfassend ein Substrat aus einem Halbleitermaterial, in welchem Substrat Halbleiterübergänge einer Transistorstruktur des Halbleiterbauteils ausgebildet sind.The invention relates to a semiconductor component, comprising a substrate made of a semiconductor material, in which substrate semiconductor junctions of a transistor structure of the semiconductor component are formed.

Derartige Halbleiterbauteile werden im Bereich der Leistungselektronik zu Schaltzwecken verwendet. Typischerweise bildet die Transistorstruktur dabei einen Leistungs-MOSFET oder einen IGBT aus, der über sein Gate zur Steuerung eines Stromflusses zwischen einem Kollektor- und einem Emitteranschluss bzw. zwischen einem Drain- und einem Sourceanschluss ansteuerbar ist. Für den Aufbau von Schaltungen mit mehreren Transistoren werden typischerweise zwei diskrete, also separate, Halbleiterbauteile verwendet, in denen jeweils eine einzige Transistorstruktur realisiert ist.Such semiconductor devices are used in the field of power electronics for switching purposes. In this case, the transistor structure typically forms a power MOSFET or an IGBT, which can be driven via its gate for controlling a current flow between a collector and an emitter connection or between a drain and a source connection. For the construction of circuits with multiple transistors typically two discrete, so separate, semiconductor devices are used, in each of which a single transistor structure is realized.

So offenbart DE 40 40 691 A1 einen Halbleitervorrichtungsbaustein mit einem elektrisch isolierenden Keramiksubstrat, drei Leistungsanschlüssen, die jeweils mit dem Substrat verbunden sind, wobei der erste und der dritte äußere Leistungsanschluss gegenüber dem zweiten äußeren Leistungsanschluss angeordnet sind. Der Baustein ist ferner so ausgebildet, dass er zwei Schaltvorrichtungen in Form von Leistungs-MOSFETs einer Halbbrückenschaltung aufnimmt.So revealed DE 40 40 691 A1 a semiconductor device package having an electrically insulating ceramic substrate, three power terminals respectively connected to the substrate, the first and third external power terminals arranged opposite to the second external power terminal. The device is further adapted to receive two switching devices in the form of power MOSFETs of a half-bridge circuit.

Aus der Verwendung von Halbleiterbauteilen, die lediglich eine Transistorstruktur realisieren, folgt ein entsprechend hoher Bauraumbedarf für Halbleiterschaltungen, in denen mehrere Transistorstrukturen verschaltet sind, da mehrere Halbleiterbauteile verwendet werden müssen.From the use of semiconductor devices, which only realize a transistor structure, followed by a correspondingly high space requirement for semiconductor circuits in which a plurality of transistor structures are interconnected, since a plurality of semiconductor devices must be used.

Der Erfindung liegt mithin die Aufgabe zugrunde, den Bauraumbedarf von Halbleiterschaltungen auf Basis eines Halbleiterbauteils zu reduzieren.The invention is therefore based on the object to reduce the space requirement of semiconductor circuits based on a semiconductor device.

Diese Aufgabe wird erfindungsgemäß bei einem Halbleiterbauteil der eingangs genannten Art dadurch gelöst, dass innerhalb des Substrats eine das Substrat in zwei Substratabschnitte aufteilende, elektrisch isolierende Trennschicht ausgebildet ist, wobei die Halbleiterübergänge der ersten Transistorstruktur in einem Substratabschnitt und Halbleiterübergänge einer zweiten Transistorstruktur des Halbleiterbauteils im anderen Substratabschnitt ausgebildet sind.This object is achieved in a semiconductor device of the type mentioned above in that within the substrate, a substrate dividing the substrate into two, electrically insulating separation layer is formed, wherein the semiconductor junctions of the first transistor structure in a substrate portion and semiconductor junctions of a second transistor structure of the semiconductor device in the other Substrate portion are formed.

Die Erfindung beruht auf der Überlegung, mehrere Transistorstrukturen auf Basis eines einzigen Substrats, beispielsweise eines Wafers, auszubilden, wobei die Transistorstrukturen zur Reduktion oder Vermeidung einer gegenseitigen Beeinflussung ihrer Halbleiterstrukturen durch eine elektrisch isolierende Trennschicht voneinander separiert sind. Das Halbleiterbauteil kann insofern als monolithisch aufgefasst werden. Innerhalb eines jeweiligen Substratabschnitts sind mithin die Halbleiterübergänge, insbesondere zwischen unterschiedlich bzw. unterschiedlich stark dotierten Zonen, einer Transistorstruktur ausgebildet und voneinander durch die Trennschicht getrennt, welche beispielsweise durch Dotierung, das Einbringen einer Oxidschicht oder Ätzen ausgebildet sein kann. Mithin ermöglicht das erfindungsgemäße Halbleiterbauteil, auf Basis eines einzigen Substrats aus einem Halbleitermaterial mehrere Transistorstrukturen im Halbleiterbauteil zu realisieren, wodurch beim Aufbau von Halbleiterschaltungen mit mehreren Transistorstrukturen die Verwendung mehrerer Halbleiterbauteile und der damit verbundene hohe Bauraumbedarf vermieden werden kann.The invention is based on the consideration of forming a plurality of transistor structures based on a single substrate, for example a wafer, wherein the transistor structures are separated from one another by an electrically insulating separating layer in order to reduce or avoid mutual influencing of their semiconductor structures. The semiconductor component can be considered monolithic in this respect. The semiconductor junctions, in particular between differently or differently doped zones, of a transistor structure are thus formed within a respective substrate section and separated from one another by the separating layer, which may be formed, for example, by doping, the introduction of an oxide layer or etching. The semiconductor component according to the invention thus makes it possible to realize a plurality of transistor structures in the semiconductor component based on a single substrate made of a semiconductor material, whereby the use of a plurality of semiconductor components and the associated high installation space requirement can be avoided when constructing semiconductor circuits with a plurality of transistor structures.

Bevorzugt sind die Transistorstrukturen jeweils als Bipolartransistor mit isoliertem Gate ausgebildet. Eine solche auch als IGBT bezeichnete Transistorstruktur zeichnet sich im Allgemeinen insbesondere durch npnp-Übergänge im Fall eines n-Kanal-IGBT oder durch pnpn-Übergänge im Fall eines p-Kanal-IGBT und eine gegenüber diesen Halbleiterübergängen elektrisch isolierte Gatestruktur aus, die am jeweiligen Substratabschnitt angeordnet ist. Alternativ dazu können die Transistorstrukturen jeweils als Feldeffekttransistor mit isoliertem Gate ausgebildet. Eine derartige Transistorstruktur wird auch als IGFET bezeichnet und kann beispielsweise ein Metall-Isolator-Halbleiter-Feldeffekttranstor (MISFET), inbesondere Metall-Oxid-Halbleiter-Feldeffekttransistor (MOSFET), sein. Auch hier kann die isolierende Gatestruktur am jeweiligen, die Halbleiterübergänge der Transistorstruktur ausbildenden Substratabschnitt angeordnet sein. Für beide Halbleiterstrukturen sind eine Vielzahl von, insbesondere für Anwendungen der Leistungselektronik ausgebildete, Ausgestaltungsvarianten bekannt, die grundsätzlich zur Ausbildung des erfindungsgemäßen Halbleiterbauteils herangezogen werden können.Preferably, the transistor structures are each formed as a bipolar transistor with insulated gate. Such a transistor structure, also referred to as an IGBT, is generally characterized in particular by npnp junctions in the case of an n-channel IGBT or by pnpn junctions in the case of a p-channel IGBT and by a gate structure electrically insulated from these semiconductor junctions Substrate section is arranged. Alternatively, the transistor structures may each be formed as an insulated gate field effect transistor. Such a transistor structure is also referred to as an IGFET and may be, for example, a metal-insulator-semiconductor field-effect transistor (MISFET), in particular a metal-oxide-semiconductor field-effect transistor (MOSFET). Again, the insulating gate structure may be disposed at the respective substrate portion forming the semiconductor junctions of the transistor structure. For both semiconductor structures, a multiplicity of design variants designed in particular for power electronics applications are known, which can in principle be used to form the semiconductor component according to the invention.

Ferner ist es zweckmäßig, wenn die Transistorstrukturen jeweils vom gleichen Kanaltyp sind. So lässt sich insbesondere im Hinblick auf die Realisierung von zu Schaltzwecken vorgesehenen Halbleiterschaltungen eine geeignete Konfiguration der Transistorstrukturen im Halbleiterbauteil wählen.Furthermore, it is expedient if the transistor structures are each of the same channel type. Thus, in particular with regard to the realization of semiconductor circuits provided for switching purposes, a suitable configuration of the transistor structures in the semiconductor component can be selected.

Außerdem können beim erfindungsgemäßen Halbleiterbauteil jeweils vertikal ausgebildete Transistorstrukturen verwendet werden. Der Begriff „vertikal” bezieht sich dabei nicht notwendigerweise auf die räumliche Ausrichtung der jeweiligen Transistorstruktur, sondern darauf, dass die Halbleiterübergänge einer solchen Transistorstruktur typischerweise derart angeordnet sind, dass ein Stromfluss durch die Transistorstruktur von einer Oberfläche des Subtratabschnitts zu einer gegenüberliegenden Oberfläche des Substratabschnitts erfolgt. Alternativ können die Transistorstrukturen lateral ausgebildet sein. Dabei erfolgt ein Stromfluss durch die Halbleiterübergänge typischerweise im Wesentlichen entlang einer Oberfläche des Substratabschnitts.In addition, in each case vertically formed transistor structures can be used in the semiconductor device according to the invention. The term "vertical" does not necessarily refer to the spatial orientation of the respective transistor structure, but to the fact that the semiconductor junctions of such a transistor structure are typically arranged such that a current flow through the transistor structure from one surface of the Subtratabschnitts to an opposite surface of the substrate section. Alternatively, the transistor structures may be formed laterally. In this case, a current flow through the semiconductor junctions typically takes place substantially along a surface of the substrate section.

Besonders bevorzugt ist bei dem erfindungsgemäßen Halbleiterbauteil an einer Oberfläche des Substrats eine die Trennschicht überbrückende Mittelelektrode ausgebildet. Die, insbesondere metallische, Mittelelektrode kann mithin eine Verbindung zwischen der ersten Transistorstruktur und der zweiten Transistorstruktur herstellen. Eine solche Mittelelektrode kann aufgrund des hohen Integrationsgrades des Halbleiterbauteils wesentlich kürzer als eine herkömmliche Verbindung zwischen zwei diskreten Transistoren ausgebildet werden. Dies verringert zusätzlich die Kommutierungsinduktivität bei einem Einsatz in der Leistungselektronik erheblich.Particularly preferably, a semiconductor electrode bridging the separating layer is formed on a surface of the substrate in the case of the semiconductor component according to the invention. The, in particular metallic, center electrode can thus establish a connection between the first transistor structure and the second transistor structure. Such a center electrode can be formed much shorter than a conventional connection between two discrete transistors due to the high degree of integration of the semiconductor device. In addition, this considerably reduces the commutation inductance when used in power electronics.

Es ist besonders zweckmäßig, wenn die Mittelelektrode kollektor- oder drainseitig mit der ersten Transistorstruktur und emitter- oder sourceseitig mit der zweiten Transistorstruktur kontaktiert ist. Die Transistorstrukturen können so durch die Mittelelektrode in Reihe verschaltet werden, was für eine Realisierung unterschiedlichster Halbleiterschaltungen zweckmäßig ist. Insbesondere kann die Mittelelektrode als Abgriff eines zwischen den Transistorstrukturen liegenden Potentials dienen.It is particularly expedient for the center electrode to be in contact with the first transistor structure on the collector or drain side and with the second transistor structure on the emitter or source side. The transistor structures can thus be connected in series by the center electrode, which is expedient for a realization of very different semiconductor circuits. In particular, the center electrode can serve as a tap of a potential lying between the transistor structures.

Ferner können zwei elektrisch leitfähige Außenelektroden vorgesehen sein, wobei eine erste Außenelektrode emitter- oder sourceseitig mit der ersten Transistorstruktur und die zweite Außenelektrode kollektor- oder drainseitig mit der zweiten Transistorstruktur kontaktiert ist. Die Außenelektroden bilden mithin eine äußere Anschlussmöglichkeit zur Verschaltung des Halbleiterbauteils, beispielsweise in einem Halbleiterleistungsmodul. Dabei liegt am Kollektor bzw. Drain der zweiten Transistorstruktur typischerweise ein hohes Potential (High Side) und am Emitter bzw. Source der ersten Transistorstruktur ein niedriges Potential (Low Side) der zu realisierenden Halbleiterschaltungen an. Bevorzugt sind die Außenelektroden an einer der ersten Oberfläche gegenüberliegenden zweiten Oberfläche des die Halbleiterübergänge der vertikalen Transistorstrukturen ausbildenden Substrats angeordnet. Mit anderen Worten sind die vertikalen Transistorstrukturen um 180° gedreht angeordnet. So sind beispielsweise Gatestrukturen der Transistorstrukturen an unterschiedlichen Oberflächen angeordnet. Alternativ sind die Außenelektroden an der Oberfläche des die lateralen Transistorstrukturen ausbildenden Substrats angeordnet. Dabei können auch Gatestrukturen der Transistorstrukturen an derselben Oberfläche angeordnet sein.Furthermore, two electrically conductive outer electrodes may be provided, wherein a first outer electrode on the emitter or source side with the first transistor structure and the second outer electrode on the collector or drain side is contacted with the second transistor structure. The outer electrodes thus form an external connection possibility for interconnecting the semiconductor component, for example in a semiconductor power module. In this case, the collector or drain of the second transistor structure typically has a high potential (high side) and a low potential (low side) of the semiconductor circuits to be realized at the emitter or source of the first transistor structure. The outer electrodes are preferably arranged on a second surface, opposite the first surface, of the substrate forming the semiconductor junctions of the vertical transistor structures. In other words, the vertical transistor structures are rotated by 180 °. For example, gate structures of the transistor structures are arranged on different surfaces. Alternatively, the outer electrodes are arranged on the surface of the substrate forming the lateral transistor structures. Gate structures of the transistor structures can also be arranged on the same surface.

Besonders bevorzugt realisiert das Halbleiterbauteil eine Halbbrückenschaltung, die über einen Gateanschluss einer jeweiligen Transistorstruktur steuerbar ist und/oder deren Mittelabgriff durch die Mittelelektrode ausgebildet ist. Eine solche, zwei in Reihe geschalteten Transistoren aufweisende Halbbrückenschaltung ist eine essenzielle Grundschaltung für eine Vielzahl elektronische Anwendungen, insbesondere im Bereich der Leistungselektronik. Am Mittelabgriff sind dabei in Abhängigkeit der Ansteuerung der Gateanschlüsse das High-Side-Potential, das Low-Side-Potential oder ein zwischen diesen Potentialen liegendes Potential abgreifbar. Es ist mithin möglich, die Halbbrückenschaltung durch ein einziges, monolithisches Halbleiterbauteil zu realisieren, wobei die Zusammenschaltung der Transistoren der Halbbrückenschaltung allein durch die am Substrat angeordnete Mittelelektrode realisierbar ist.Particularly preferably, the semiconductor component implements a half-bridge circuit which can be controlled via a gate connection of a respective transistor structure and / or whose center tap is formed by the center electrode. Such a half-bridge circuit having two series-connected transistors is an essential basic circuit for a large number of electronic applications, in particular in the field of power electronics. Depending on the activation of the gate connections, the high-side potential, the low-side potential or a potential lying between these potentials can be tapped at the center tap. It is therefore possible to realize the half-bridge circuit by a single, monolithic semiconductor component, wherein the interconnection of the transistors of the half-bridge circuit can be realized solely by the center electrode arranged on the substrate.

Insbesondere im Hinblick auf die leistungselektronische Verwendung des erfindungsgemäßen Halbleiterbauteils wird es bevorzugt, wenn in den Substratabschnitten jeweils eine antiparallel zur Transistorstruktur geschaltete Diodenstruktur ausgebildet ist. Durch diese Diodenstruktur ist eine Freilaufdiode realisierbar, die gegen die vorgesehene Stromrichtung durch die Transistorstrukturen fließende Ströme, die beispielsweise von einer induktiven Last in das Halbleiterbauteil fließen, an den empfindlichen Transistorstrukturen vorbeiführt. Es wird so ein noch weitergehender Integrationsgrad und eine zusätzliche Bauraumreduktion durch das Halbleiterbauteil erzielt. Eine Diodenstruktur kann dabei anodenseitige mit einer Außenelektrode und kathodenseitig mit der Mittelelektrode und die andere Diodenstruktur anodenseitig mit der Mittelelektrode und kathodenseitig mit der anderen Außenelektrode kontaktiert sein. Zweckmäßigerweise realisiert die Diodenstruktur eine pin-Diode, also einen Übergang von einer stark p-dotierten Zone über eine schwach n-dotierte Zone (sog. intrinsisch leitende Zone) zu einer stark n-dotierten Zone. Insbesondere bei vertikalen Transistorstrukturen ist eine solche Diodenstruktur aufwandsarm antiparallel zur Transistorstruktur ausbildbar.In particular with regard to the power electronic use of the semiconductor component according to the invention, it is preferred if in the substrate sections in each case an antiparallel connected to the transistor structure diode structure is formed. By means of this diode structure, a freewheeling diode can be realized, which leads against the intended current direction through the transistor structures flowing currents, which flow, for example, from an inductive load in the semiconductor device to the sensitive transistor structures. It is thus achieved a further degree of integration and an additional space reduction by the semiconductor device. A diode structure can be contacted on the anode side with an outer electrode and the cathode side with the center electrode and the other diode structure on the anode side with the center electrode and the cathode side with the other outer electrode. Expediently, the diode structure implements a pin diode, that is to say a transition from a heavily p-doped zone via a weakly n-doped zone (so-called intrinsically conductive zone) to a heavily n-doped zone. In particular, in the case of vertical transistor structures, such a diode structure can be formed with little effort, in antiparallel to the transistor structure.

Außerdem wird es beim erfindungsgemäßen Halbleiterbauteil bevorzugt, wenn in einem jeweiligen Substratabschnitt Halbleiterübergänge mehrerer Transistorstrukturen ausgebildet sind, die jeweils separat kontaktierbar sind. Mit anderen Worten können zu beiden Seiten der Trennschicht jeweils mehrere Transistorstrukturen vorgesehen sein. Dabei können sämtliche Ausführungen zum zuvor beschriebenen, die erste und die zweite Transistorstruktur umfassenden Paar, auf ein jeweiliges weiteres, durch die Trennschicht getrenntes Paar weiterer Transistorstrukturen übertragen werden. Mithin lassen sich so monolithisch beispielsweise mehrere parallel verschaltete Halbbrücken realisieren, beispielsweise für einen mehrphasigen Wechselrichter.In addition, it is preferred in the case of the semiconductor component according to the invention if semiconductor junctions of a plurality of transistor structures are formed in a respective substrate section, which can each be contacted separately. In other words, in each case a plurality of transistor structures may be provided on both sides of the separation layer. In this case, all embodiments of the previously described pair comprising the first and the second transistor structure can be transferred to a respective further pair of further transistor structures separated by the separating layer. Thus, for example, a plurality of parallel-connected half-bridges can be implemented in monolithic fashion, for example for a polyphase inverter.

Die der Erfindung zugrunde liegende Aufgabe wird ferner gelöst durch ein Halbleiterleistungsmodul für ein Kraftfahrzeug, umfassend wenigstens ein erfindungsgemäßes Halbleiterbauteil. Das Halbleiterleistungsmodul kann beispielsweise Teil einer Lenksteuereinrichtung, einer Getriebesteuereinrichtung oder eines elektrisch angetriebenen Klimakompressors sein. Alternativ kann das Halbleiterleistungsmodul ein Wechselrichter oder ein Gleichspannungswandler sein oder Teil eines solchen sein. The object underlying the invention is further achieved by a semiconductor power module for a motor vehicle, comprising at least one semiconductor component according to the invention. The semiconductor power module may, for example, be part of a steering control device, a transmission control device or an electrically driven air conditioning compressor. Alternatively, the semiconductor power module may be or be part of an inverter or DC-DC converter.

Außerdem wird die der Erfindung zugrunde liegende Aufgabe gelöst durch ein Kraftfahrzeug, umfassend wenigstens ein erfindungsgemäßes Halbleiterleistungsmodul.In addition, the object underlying the invention is achieved by a motor vehicle comprising at least one inventive semiconductor power module.

Sämtliche Ausführungen zum erfindungsgemäßen Halbleiterbauteil lassen sich analog auf das erfindungsgemäße Halbleiterleistungsmodul und das erfindungsgemäße Kraftfahrzeug übertragen, so dass auch mit diesen die zuvor genannten Vorteile erzielbar sind.All embodiments of the semiconductor device according to the invention can be analogously transferred to the semiconductor power module according to the invention and the motor vehicle according to the invention, so that even with these the advantages mentioned above can be achieved.

Weitere Vorteile und Einzelheiten der Erfindung ergeben sich aus den im Folgenden beschriebenen Ausführungsbeispielen sowie anhand der Zeichnungen. Diese sind schematische Darstellungen und zeigen:Further advantages and details of the invention will become apparent from the embodiments described below and with reference to the drawings. These are schematic representations and show:

1 eine Prinzipskizze eines erfindungsgemäßen Kraftfahrzeugs; 1 a schematic diagram of a motor vehicle according to the invention;

2 ein Schaltbild einer Halbbrückenschaltung eines erfindungsgemäßen Halbleiterleistungsmoduls des Kraftfahrzeugs; 2 a circuit diagram of a half-bridge circuit of a semiconductor power module according to the invention of the motor vehicle;

3 eine Schichtdarstellung eines ersten Ausführungsbeispiels eines erfindungsgemäßen Halbleiterbauteils; und 3 a layer representation of a first embodiment of a semiconductor device according to the invention; and

4 eine Schichtdarstellung eines zweiten Ausführungsbeispiels eines erfindungsgemäßen Halbleiterbauteils. 4 a layer representation of a second embodiment of a semiconductor device according to the invention.

1 zeigt eine Prinzipskizze eines Kraftfahrzeugs 1, umfassend ein Halbleiterleistungsmodul 2, welches beispielsweise Teil einer Lenksteuereinrichtung, einer Getriebesteuereinrichtung, eines elektrisch angetriebener Klimakompressors, eines Wechselrichters oder eines Gleichspannungswandlers des Kraftfahrzeugs 1 ist. Das Halbleiterleistungsmodul 2 weist eine oder mehrere Halbbrückenschaltungen 3 auf. 1 shows a schematic diagram of a motor vehicle 1 comprising a semiconductor power module 2 which, for example, part of a steering control device, a transmission control device, an electrically driven air conditioning compressor, an inverter or a DC-DC converter of the motor vehicle 1 is. The semiconductor power module 2 has one or more half-bridge circuits 3 on.

2 zeigt ein Schaltbild der Halbbrückenschaltung 3. Diese umfasst einen ersten Transistor 4 und einen zweiten Transistor 5 jeweils in Form eines p-Kanal-Bipolartransistors mit isoliertem Gate (IGBT), die in Reihe zwischen einer auf einem hohen Potential 6 liegenden Leitung und einer auf einem niedrigen Potential 7 liegenden Leitung verschaltet sind. Dabei ist ein Emitter 8 des ersten Transistors 4 mit dem niedrigen Potential 7 und ein Kollektor 9 des ersten Transistors 4 mit einem Emitter 10 des zweiten Transistors 5 verbunden. Dessen Kollektor 11 liegt wiederum auf dem hohen Potential 6. Zwischen dem Emitter 10 und dem Kollektor 9 ist ein Mittelabgriff 12 vorgesehen. Durch Ansteuerung eines Gates 13 des ersten Transistors 4 und eines Gates 14 des zweiten Transistors 5 lässt sich am Mittelabgriff 12 mithin entweder das hohe Potential 6, das niedrige Potential 7 oder ein dazwischen liegendes Potential abgreifen. 2 shows a circuit diagram of the half-bridge circuit 3 , This includes a first transistor 4 and a second transistor 5 each in the form of a p-channel insulated gate bipolar transistor (IGBT) connected in series between one at a high potential 6 lying line and one at a low potential 7 lying line are interconnected. This is an emitter 8th of the first transistor 4 with the low potential 7 and a collector 9 of the first transistor 4 with an emitter 10 of the second transistor 5 connected. Its collector 11 again lies at the high potential 6 , Between the emitter 10 and the collector 9 is a middle tap 12 intended. By controlling a gate 13 of the first transistor 4 and a gate 14 of the second transistor 5 can be at the center tap 12 either the high potential 6 , the low potential 7 or pick up an intermediate potential.

Antiparallel zu den Transistoren 4, 5 ist jeweils eine Diode 15, 16 geschaltet, so dass ein Stromfluss vom niedrigen Potential 7 bzw. vom Mittelabgriff 12 in Richtung des hohen Potentials 6 an den Transistoren 4, 5 vorbeigeführt wird. Ein solcher Stromfluss kann beispielsweise durch eine induktive Last der Halbbrückenschaltung 3 hervorgerufen werden und die empfindlichen Strukturen der Transistoren 4, 5 schädigen.Anti-parallel to the transistors 4 . 5 is each a diode 15 . 16 switched so that a current flow from the low potential 7 or from the center tap 12 in the direction of the high potential 6 at the transistors 4 . 5 is passed. Such a current flow can be achieved, for example, by an inductive load of the half-bridge circuit 3 be caused and the sensitive structures of the transistors 4 . 5 damage.

3 zeigt eine Schichtdarstellung eines Ausführungsbeispiels eines Halbleiterbauteils 17, das die Halbbrückenschaltung 3 realisiert. 3 shows a layer representation of an embodiment of a semiconductor device 17 that is the half-bridge circuit 3 realized.

Das Halbleiterbauteil 17 umfasst ein Substrat 18 aus einem Halbleitermaterial. Innerhalb des Substrats 18 ist eine elektrisch isolierende Trennschicht 19, beispielsweise durch Dotierung, Einbringen einer Oxidschicht oder Ätzen, ausgebildet, welche das Substrat 18 in einen ersten Substratabschnitt 20 und in einen zweiten Substratabschnitt 21 aufteilt.The semiconductor device 17 includes a substrate 18 from a semiconductor material. Within the substrate 18 is an electrically insulating separating layer 19 , For example, by doping, introducing an oxide layer or etching, which forms the substrate 18 in a first substrate section 20 and in a second substrate portion 21 divides.

Innerhalb des ersten Substratabschnitts 20 sind sowohl die Halbleiterübergänge einer ersten Transistorstruktur 22 ausgebildet, zu welcher ferner eine oberflächenseitig am ersten Substratabschnitt 20 angeordnete isolierte Gatestruktur 23 gehört, als auch die Halbleiterübergänge einer Diodenstruktur 24 ausgebildet. Die Halbleiterübergänge der ersten Transistorstruktur 22 werden durch eine schwach n-dotierte Epitaxiezone 25 gebildet, in der zwei stark p-dotierte Wannen 26, 27 mit jeweils einer stark n-dotierten Insel 28, 29 ausgebildet sind. Die Wannen 26, 27 berühren dabei die isolierte Gatestruktur 23 teilweise. Auf der den Wannen 26, 27 abgewandten Seite der Epitaxiezone 25 befindet sich eine stark n-dotierte Pufferzone 30, an die sich im Bereich der ersten Transistorstruktur 22 eine stark p-dotierte Zone 45 anschließt und die sich im Bereich der ersten Diodenstruktur 24 bis zu einer ersten Oberfläche 31 des Substrats 18 erstreckt. Der erste Substratabschnitt 20 bildet mithin sowohl den npnp-Übergang der ersten Transistorstruktur 22 für den vertikalen IGBT als auch den pin-Übergang der ersten Diodenstruktur 24 aus.Within the first substrate section 20 are both the semiconductor junctions of a first transistor structure 22 formed, to which further comprises a surface side on the first substrate portion 20 arranged isolated gate structure 23 as well as the semiconductor junctions of a diode structure 24 educated. The semiconductor junctions of the first transistor structure 22 are due to a weakly n-doped epitaxial growth zone 25 formed, in the two heavily p-doped tubs 26 . 27 each with a heavily n-doped island 28 . 29 are formed. The tubs 26 . 27 touch the isolated gate structure 23 partially. On the tubs 26 . 27 opposite side of the epitaxial zone 25 there is a heavily n-doped buffer zone 30 , in the region of the first transistor structure 22 a heavily p-doped zone 45 connects and located in the area of the first diode structure 24 up to a first surface 31 of the substrate 18 extends. The first substrate section 20 thus forms both the npnp junction of the first transistor structure 22 for the vertical IGBT as well as the pin junction of the first diode structure 24 out.

Die erste Transistorstruktur 22 bildet folglich den ersten Transistor 4 der in 2 gezeigten Halbbrückenschaltung 3 aus. Dessen antiparallele Diode ist ferner durch die erste Diodenstruktur 24 ausgebildet. An der der ersten Oberfläche 31 gegenüberliegenden zweiten Oberfläche 32 des Substrats 18 ist eine elektrisch leitfähige erste Außenelektrode 33 vorgesehen, die die Gatestruktur 23 einfasst und den Emitter 8 mit der Anode der Diode 15 verbindet. An der ersten Oberfläche 31 ist eine elektrisch leitfähige Mittelelektrode 34 vorgesehen, die den Kollektor 9 mit der Kathode der Diode 15 verbindet. The first transistor structure 22 thus forms the first transistor 4 the in 2 shown half-bridge circuit 3 out. Its antiparallel diode is further characterized by the first diode structure 24 educated. At the first surface 31 opposite second surface 32 of the substrate 18 is an electrically conductive first outer electrode 33 provided the gate structure 23 borders and the emitter 8th with the anode of the diode 15 combines. At the first surface 31 is an electrically conductive center electrode 34 provided the collector 9 with the cathode of the diode 15 combines.

Innerhalb des zweiten Substratabschnitts 21 sind Halbleiterübergänge einer zweiten Transistorstruktur 35 und eine zweite Diodenstruktur 36 ausgebildet, die der ersten Transistorstruktur 22 bzw. der ersten Diodenstruktur 24 entsprechen, allerdings bezüglich einer parallel zu den Oberflächen 31, 32 verlaufenden Ebene gespiegelt angeordnet sind. Ferner ist eine zweite Gatestruktur 37 der zweiten Transistorstruktur 35 an der ersten Oberfläche 31 vorgesehen. Mithin bilden die zweite Transistorstruktur 35 den zweiten Transistor 5 und die zweite Diodenstruktur 36 die zweite Diode 16 der in 2 gezeigten Halbbrückenschaltung 3 aus. Zur Verbindung des Kollektors 9 mit dem Emitter 10 überbrückt die Mittelelektrode 34 die Trennschicht 19 und fasst am zweiten Substratabschnitt 21 die zweite Gatestruktur 37 ein. Die Mittelelektrode 34 bildet dabei auch die Verbindung der Kathode der zweiten Diode 16 mit dem Emitter 10 des zweiten Transistors 5. An der zweiten Oberfläche 32 ist im Bereich des zweiten Substratabschnitts 21 eine zweite Außenelektrode 38 vorgesehen, die den Kollektor 11 des zweiten Transistors 5 mit der Anode der zweiten Diode 16 verbindet.Within the second substrate section 21 are semiconductor junctions of a second transistor structure 35 and a second diode structure 36 formed, that of the first transistor structure 22 or the first diode structure 24 correspond, but with respect to one parallel to the surfaces 31 . 32 extending plane are arranged mirrored. Furthermore, a second gate structure 37 the second transistor structure 35 at the first surface 31 intended. Thus, form the second transistor structure 35 the second transistor 5 and the second diode structure 36 the second diode 16 the in 2 shown half-bridge circuit 3 out. To connect the collector 9 with the emitter 10 bridges the center electrode 34 the separation layer 19 and sums to the second substrate portion 21 the second gate structure 37 one. The center electrode 34 It also forms the connection of the cathode of the second diode 16 with the emitter 10 of the second transistor 5 , At the second surface 32 is in the region of the second substrate section 21 a second outer electrode 38 provided the collector 11 of the second transistor 5 with the anode of the second diode 16 combines.

Somit ist die Halbbrückenschaltung 3 monolithisch durch das aus einem einzigen Substrat 18 gebildete Halbleiterbauteil 17 realisiert, wobei die erste Außenelektrode 33 mit dem niedrigen Potential 7 und die zweite Außenelektrode 38 mit dem hohen Potential 6 zu verbinden ist. Der Mittelabgriff 12 ist durch die Mittelelektrode 34 bereitgestellt.Thus, the half-bridge circuit is 3 monolithic by means of a single substrate 18 formed semiconductor device 17 realized, wherein the first outer electrode 33 with the low potential 7 and the second outer electrode 38 with the high potential 6 to connect. The center tap 12 is through the center electrode 34 provided.

Gemäß einem weiteren Ausführungsbeispiel des Halbleiterbauteils 17 sind die Transistorstrukturen 22, 35 als vertikaler Feldeffekttransistoren mit isoliertem Gate (IGFET), beispielsweise als Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFET) ausgebildet. Dabei entsprechen funktional die Emitter 8, 10 einem jeweiligen Sourceanschluss und die Kollektoren 9, 11 einem jeweiligen Drainanschluss der IGFET.According to a further embodiment of the semiconductor device 17 are the transistor structures 22 . 35 as vertical field effect transistors with insulated gate (IGFET), for example as metal oxide semiconductor field effect transistors (MOSFET). Functionally, the emitters correspond 8th . 10 a respective source and the collectors 9 . 11 a respective drain of the IGFET.

4 zeigt ein weiteres Ausführungsbeispiel eines Halbleiterbauteils 17, dessen Transistorstrukturen 22, 35 im Gegensatz zum zuvor beschriebenen Ausführungsbeispiel jeweils als lateraler n-Kanal-IGBT ausgebildet sind. Dabei sind sowohl die Außenelektroden 33, 38 als auch die Mittelelektrode 34 an der selben Oberfläche 32 des Substrats 18 angeordnet. 4 shows a further embodiment of a semiconductor device 17 , whose transistor structures 22 . 35 in contrast to the previously described embodiment, each are formed as a lateral n-channel IGBT. Here are both the outer electrodes 33 . 38 as well as the center electrode 34 on the same surface 32 of the substrate 18 arranged.

Das Substrat 18 weist dazu im ersten Substratabschnitt 20 eine p-dotierte Basiszone 39 auf, in der im Bereich der den Emitter 8 des ersten Transistors 4 kontaktierenden ersten Außenelektrode 33 eine stark p-dotierte Zone 40 und eine stark n-dotierte Zone 41 ausgebildet ist. Kollektorseitig ist eine weitere stark p-dotierte Zone 42 ausgebildet, die in vertikaler Richtung durch eine n-dotierte Pufferzone 43 von der Basiszone 39 und in lateraler Richtung durch eine n-dotierte Driftzone 44 von der Basiszone 39 getrennt ist. Die Gatestruktur 23 der ersten Transistorstruktur 22 ist hier an der Oberfläche 32 ausgebildet und grenzt in vertikaler Richtung unmittelbar an die Basiszone 39 an.The substrate 18 points to it in the first substrate section 20 a p-doped base zone 39 on, in the area of the emitter 8th of the first transistor 4 contacting first outer electrode 33 a heavily p-doped zone 40 and a heavily n-doped zone 41 is trained. Collector side is another heavily p-doped zone 42 formed in the vertical direction through an n-doped buffer zone 43 from the base zone 39 and in the lateral direction through an n-doped drift zone 44 from the base zone 39 is disconnected. The gate structure 23 the first transistor structure 22 is here at the surface 32 formed and adjacent in the vertical direction directly to the base zone 39 at.

Im zweiten Substratabschnitt 21 sind die Halbleiterübergänge der zweiten Transistorstruktur 35 analog zur ersten Transistorstruktur 22 realisiert, wobei die Gatestruktur 37 ebenfalls an der Oberfläche 32 ausgebildet ist. Auch hier überbrückt die Mittelelektrode 34 die Trennschicht 19 des Substrats 18 und stellt so die Verbindung zwischen dem Kollektor 9 des ersten Transistors 4 und dem Emitter 10 des zweiten Transistors 5 her. Die zweite Außenelektrode 38 kontaktiert dementsprechend den Kollektor 11 des zweiten Transistors 5 zur Ausbildung der Halbbrückenschaltung 3 gemäß 2.In the second substrate section 21 are the semiconductor junctions of the second transistor structure 35 analogous to the first transistor structure 22 realized, with the gate structure 37 also on the surface 32 is trained. Again, the center electrode bridges 34 the separation layer 19 of the substrate 18 and thus establishes the connection between the collector 9 of the first transistor 4 and the emitter 10 of the second transistor 5 ago. The second outer electrode 38 accordingly contacts the collector 11 of the second transistor 5 for the formation of the half-bridge circuit 3 according to 2 ,

Gemäß einem weiteren Ausführungsbeispiel des in 4 gezeigten Halbleiterbauteils 17 sind die Transistorstrukturen 22, 35 als laterale IGFET, beispielsweise als MOSFET, ausgebildet. Auch hier entsprechen die Emitter 8, 10 funktional einem jeweiligen Sourceanschluss und die Kollektoren 9, 11 einem jeweiligen Drainanschluss der IGFET.According to a further embodiment of the in 4 shown semiconductor device 17 are the transistor structures 22 . 35 as a lateral IGFET, for example as a MOSFET. Again, the emitters are the same 8th . 10 functionally a respective source connection and the collectors 9 . 11 a respective drain of the IGFET.

Gemäß einem weiteren Ausführungsbeispiel der in den 3 und 4 gezeigten Halbleiterbauteile 17 sind beidseits der Trennschicht 19 jeweils mehrere Transistorstrukturen 22, 35 und Diodenstrukturen 24, 36 ausgebildet, so dass mehrere Halbbrückenschaltungen parallel im Substrat 18 realisiert werden. Dabei verläuft die Trennschicht 19 durchgängig zwischen den Substratabschnitten 20, 21, in denen jeweils Halbleiterübergänge mehrerer erste bzw. zweite Transistorstrukturen 22, 35 ausgebildet sind.According to a further embodiment of the in the 3 and 4 shown semiconductor devices 17 are on both sides of the separation layer 19 in each case a plurality of transistor structures 22 . 35 and diode structures 24 . 36 formed so that several half-bridge circuits in parallel in the substrate 18 will be realized. The separating layer runs thereby 19 consistently between the substrate sections 20 . 21 in which respective semiconductor junctions of a plurality of first and second transistor structures 22 . 35 are formed.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • DE 4040691 A1 [0003] DE 4040691 A1 [0003]

Claims (14)

Halbleiterbauteil, umfassend ein Substrat (18) aus einem Halbleitermaterial, in welchem Substrat (18) Halbleiterübergänge einer Transistorstruktur (22) des Halbleiterbauteils (17) ausgebildet sind, dadurch gekennzeichnet, dass innerhalb des Substrats (18) eine das Substrat (18) in zwei Substratabschnitte (20, 21) aufteilende, elektrisch isolierende Trennschicht (19) ausgebildet ist, wobei die Halbleiterübergänge der ersten Transistorstruktur (22) in einem Substratabschnitt (20) und Halbleiterübergänge einer zweiten Transistorstruktur (35) des Halbleiterbauteils (17) im anderen Substratabschnitt (21) ausgebildet sind.Semiconductor device comprising a substrate ( 18 ) of a semiconductor material, in which substrate ( 18 ) Semiconductor junctions of a transistor structure ( 22 ) of the semiconductor device ( 17 ), characterized in that within the substrate ( 18 ) one the substrate ( 18 ) in two substrate sections ( 20 . 21 ) dividing, electrically insulating separating layer ( 19 ), wherein the semiconductor junctions of the first transistor structure ( 22 ) in a substrate section ( 20 ) and semiconductor junctions of a second transistor structure ( 35 ) of the semiconductor device ( 17 ) in the other substrate section ( 21 ) are formed. Halbleiterbauteil nach Anspruch 1, dadurch gekennzeichnet, dass Transistorstrukturen (22, 35) jeweils als Bipolartransistor mit isoliertem Gate oder als Feldeffekttransistor mit isoliertem Gate ausgebildet sind.Semiconductor component according to Claim 1, characterized in that transistor structures ( 22 . 35 ) are each formed as an insulated gate bipolar transistor or as an insulated gate field effect transistor. Halbleiterbauteil nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass die Transistorstrukturen (22, 35) jeweils vom gleichen Kanaltyp sind.Semiconductor component according to Claim 1 or 2, characterized in that the transistor structures ( 22 . 35 ) are each of the same channel type. Halbleiterbauteil nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Transistorstrukturen (22, 35) jeweils vertikal oder lateral ausgebildet sind.Semiconductor component according to one of the preceding claims, characterized in that the transistor structures ( 22 . 35 ) are each formed vertically or laterally. Halbleiterbauteil nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass an einer Oberfläche (31) des Substrats (18) eine die Trennschicht (19) überbrückende elektrisch leitfähige Mittelelektrode (34) ausgebildet ist.Semiconductor component according to one of the preceding claims, characterized in that on a surface ( 31 ) of the substrate ( 18 ) one the separating layer ( 19 ) bridging electrically conductive center electrode ( 34 ) is trained. Halbleiterbauteil nach Anspruch 5, dadurch gekennzeichnet, dass die Mittelelektrode (34) kollektor- oder drainseitig mit der ersten Transistorstruktur (22) und emitter- oder sourceseitig mit der zweiten Transistorstruktur (34) kontaktiert ist.Semiconductor component according to Claim 5, characterized in that the center electrode ( 34 ) on the collector or drain side with the first transistor structure ( 22 ) and emitter or source side with the second transistor structure ( 34 ) is contacted. Halbleiterbauteil nach Anspruch 5 oder 6, dadurch gekennzeichnet, dass zwei elektrisch leitfähige Außenelektroden (33, 38) vorgesehen sind, wobei eine erste Außenelektrode (33) emitter- oder sourceseitig mit der ersten Transistorstruktur (32) und die zweite Außenelektrode (38) kollektor- oder drainseitig mit der zweiten Transistorstruktur (35) kontaktiert ist.Semiconductor component according to claim 5 or 6, characterized in that two electrically conductive outer electrodes ( 33 . 38 ) are provided, wherein a first outer electrode ( 33 ) emitter or source side with the first transistor structure ( 32 ) and the second outer electrode ( 38 ) on the collector or drain side with the second transistor structure ( 35 ) is contacted. Halbleiterbauteil nach den Ansprüchen 4 und 7, dadurch gekennzeichnet, dass die Außenelektroden (33, 38) an einer der ersten Oberfläche (31) gegenüberliegenden zweiten Oberfläche (32) des die die Halbleiterübergänge der vertikalen Transistorstrukturen (22, 35) ausbildenden Substrats (18) oder an der Oberfläche (31) die Halbleiterübergänge der lateralen Transistorstrukturen (22, 35) ausbildenden Substrats (18) angeordnet sind.Semiconductor component according to Claims 4 and 7, characterized in that the external electrodes ( 33 . 38 ) on one of the first surfaces ( 31 ) opposite second surface ( 32 ) of which the semiconductor junctions of the vertical transistor structures ( 22 . 35 ) forming substrate ( 18 ) or on the surface ( 31 ) the semiconductor junctions of the lateral transistor structures ( 22 . 35 ) forming substrate ( 18 ) are arranged. Halbleiterbauteil nach einem der Ansprüche 6 bis 8, dadurch gekennzeichnet, dass es eine Halbbrückenschaltung (3) realisiert, die über einen Gateanschluss einer jeweiligen Transistorstruktur (22, 35) steuerbar ist und/oder deren Mittelabgriff (12) durch die Mittelelektrode (34) ausgebildet ist.Semiconductor component according to one of Claims 6 to 8, characterized in that it has a half-bridge circuit ( 3 ) realized via a gate terminal of a respective transistor structure ( 22 . 35 ) is controllable and / or whose center tap ( 12 ) through the center electrode ( 34 ) is trained. Halbleiterbauteil nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass in den Substratabschnitten (20, 21) jeweils eine antiparallel zur Transistorstruktur geschaltete Diodenstruktur (24, 36) ausgebildet ist.Semiconductor component according to one of the preceding claims, characterized in that in the substrate sections ( 20 . 21 ) each have an antiparallel to the transistor structure switched diode structure ( 24 . 36 ) is trained. Halbleiterbauteil nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass in einem jeweiligen Substratabschnitt (20, 21) Halbleiterübergänge mehrerer Transistorstrukturen (22, 35) ausgebildet sind, die jeweils separat kontaktierbar sind.Semiconductor component according to one of the preceding claims, characterized in that in a respective substrate section ( 20 . 21 ) Semiconductor junctions of several transistor structures ( 22 . 35 ) are formed, which are each separately contacted. Halbleiterleistungsmodul für ein Kraftfahrzeug (1), umfassend wenigstens ein Halbleiterbauteil (17) nach einem der vorhergehenden Ansprüche.Semiconductor power module for a motor vehicle ( 1 ) comprising at least one semiconductor device ( 17 ) according to any one of the preceding claims. Halbleiterleistungsmodul nach Anspruch 12, dadurch gekennzeichnet, dass es Teil einer Lenksteuereinrichtung, einer Getriebesteuereinrichtung oder eines elektrisch angetriebenen Klimakompressors ist oder ein Wechselrichter oder ein Gleichspannungswandler ist oder Teil eines solchen ist.Semiconductor power module according to claim 12, characterized in that it is part of a steering control device, a transmission control device or an electrically driven air conditioning compressor or is an inverter or a DC-DC converter or is part of such. Kraftfahrzeug, umfassend wenigstens ein Halbleiterleistungsmodul (2) nach Anspruch 12 oder 13.Motor vehicle comprising at least one semiconductor power module ( 2 ) according to claim 12 or 13.
DE102016218821.6A 2016-09-29 2016-09-29 Semiconductor device, semiconductor power module for an automobile and automobile Active DE102016218821B4 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102016218821.6A DE102016218821B4 (en) 2016-09-29 2016-09-29 Semiconductor device, semiconductor power module for an automobile and automobile

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102016218821.6A DE102016218821B4 (en) 2016-09-29 2016-09-29 Semiconductor device, semiconductor power module for an automobile and automobile

Publications (2)

Publication Number Publication Date
DE102016218821A1 true DE102016218821A1 (en) 2018-03-29
DE102016218821B4 DE102016218821B4 (en) 2023-03-23

Family

ID=61563981

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016218821.6A Active DE102016218821B4 (en) 2016-09-29 2016-09-29 Semiconductor device, semiconductor power module for an automobile and automobile

Country Status (1)

Country Link
DE (1) DE102016218821B4 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4040691A1 (en) 1989-12-21 1991-06-27 Gen Electric SEMICONDUCTOR DEVICE AND SEMI-BRIDGE CIRCUIT BLOCK
EP1401021A1 (en) 2001-05-25 2004-03-24 Mitsubishi Denki Kabushiki Kaisha Power semiconductor device
US20090114947A1 (en) 2007-11-07 2009-05-07 Denso Corporation Semiconductor device and inverter circiut having the same
US20110068387A1 (en) 2009-09-23 2011-03-24 Denso Corporation Semiconductor device including vertical transistor and horizontal transistor and method of manufacturing the same
US20110260245A1 (en) 2010-04-23 2011-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Cost Effective Global Isolation and Power Dissipation For Power Integrated Circuit Device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4040691A1 (en) 1989-12-21 1991-06-27 Gen Electric SEMICONDUCTOR DEVICE AND SEMI-BRIDGE CIRCUIT BLOCK
EP1401021A1 (en) 2001-05-25 2004-03-24 Mitsubishi Denki Kabushiki Kaisha Power semiconductor device
US20090114947A1 (en) 2007-11-07 2009-05-07 Denso Corporation Semiconductor device and inverter circiut having the same
US20110068387A1 (en) 2009-09-23 2011-03-24 Denso Corporation Semiconductor device including vertical transistor and horizontal transistor and method of manufacturing the same
US20110260245A1 (en) 2010-04-23 2011-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Cost Effective Global Isolation and Power Dissipation For Power Integrated Circuit Device

Also Published As

Publication number Publication date
DE102016218821B4 (en) 2023-03-23

Similar Documents

Publication Publication Date Title
DE112013000784B4 (en) Semiconductor arrangement with active drift zone
DE102013217176B4 (en) Circuit arrangement with a first semiconductor device and with a plurality of second semiconductor devices
DE102013213447B4 (en) Integrated circuit with at least two switches
EP0566639B1 (en) Integrated power switch structure
DE102017200557B4 (en) Field effect transistor with protective diodes
DE102013107379B4 (en) Integrated semiconductor device and bridge circuit with the integrated semiconductor device
DE102006038479B4 (en) Power semiconductor module with two multiple power semiconductor devices
DE102013100683B4 (en) Integrated circuit with field effect transistor structures with gate and field electrodes and method for producing such an integrated circuit
DE112012006885T5 (en) Switching device for power and Stromrichtvorrichtung
DE102014109859B4 (en) SEMICONDUCTOR DEVICES WITH A FIELD ELECTRODE, SYNCHRONOUS RECTIFICATION DEVICE AND POWER SUPPLY
DE102014116091B4 (en) Semiconductor device
DE102014110985A1 (en) MOSFET driver device
DE102010008617A1 (en) A semiconductor device for driving a bridge connected power transistor
DE102014119395B4 (en) Transistor device with field electrode
DE112015002272T5 (en) SIC POWER MODULES WITH HIGH CURRENT AND LOW SWITCH LOSSES
DE102013206057A1 (en) INTEGRATED SWITCHING ELEMENT WITH PARALLEL RECTIFIER ELEMENT
DE102011056937A1 (en) The housing
DE102017103057A1 (en) Active gate-source capacitance terminal for a self-locking HEMT
DE102016105908A1 (en) High Electron Mobility Transistor (HEM transistor) with an integrated into a gate structure RC network
DE102013212561A1 (en) Semiconductor device and power conversion device using them
DE102016103131A1 (en) Circuit, semiconductor switching device and method
EP2633555B1 (en) Diode circuit
DE102015108091A1 (en) Transistor arrangement with power transistors and voltage-limiting components
DE102016110645A1 (en) SEMICONDUCTOR DEVICE WITH ONE FIRST FIELD PLATE AND A SECOND FIELD PLATE TRANSISTOR
DE102016218821B4 (en) Semiconductor device, semiconductor power module for an automobile and automobile

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final