CN201054347Y - 适合于蚀刻高纵横比结构的衬底支座 - Google Patents

适合于蚀刻高纵横比结构的衬底支座 Download PDF

Info

Publication number
CN201054347Y
CN201054347Y CNU2006201327011U CN200620132701U CN201054347Y CN 201054347 Y CN201054347 Y CN 201054347Y CN U2006201327011 U CNU2006201327011 U CN U2006201327011U CN 200620132701 U CN200620132701 U CN 200620132701U CN 201054347 Y CN201054347 Y CN 201054347Y
Authority
CN
China
Prior art keywords
main body
substrate holder
pedestal
holder according
guiding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNU2006201327011U
Other languages
English (en)
Inventor
S·帕马希
H·道
X·周
K·A·麦克唐纳
J·迪内夫
F·阿布阿马里
D·E·居蒂耶雷
J·Z·何
R·S·克拉克
D·M·科绍
J·W·迪茨
D·斯坎伦
S·德斯姆科
A·帕藤森
J·P·霍兰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of CN201054347Y publication Critical patent/CN201054347Y/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3347Problems associated with etching bottom of holes or trenches

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本实用新型的实施方式提供一种装置,诸如处理室,其适合于蚀刻高纵横比结构。其它的实施方式包括在处理室中使用的衬底支座。在一个实施方式中,衬底支座包括静电卡盘,该静电卡盘包括具有由上壁、中壁和下壁限定外径的主体。中壁的高度小于下壁的高度且大于上壁的高度。卡盘也包括嵌入其中的箝位电极。在另一个实施方式中,适合用于半导体处理室中的衬底支座包括静电卡盘、基座和连接到基座且部分地延伸进入静电卡盘主体的升降销导引组件。

Description

适合于蚀刻高纵横比结构的衬底支座
技术领域
【0001】本实用新型的实施方式一般涉及在半导体衬底等中蚀刻高纵横比结构的真空处理室。更具体地,本实用新型一般涉及适合于真空处理室中使用的蚀刻高纵横比结构的衬底支座。
背景技术
【0002】更快、更大功率集成电路(IC)器件对于IC制造技术的要求引入了新的挑战,包括需要蚀刻高纵横比结构,诸如在如半导体晶片的衬底上的沟槽或通孔。例如,在一些动态随机存储器应用中使用的深沟槽存储结构需要蚀刻进入半导体衬底的深的高纵横比沟槽。一般在反应离子蚀刻(RIE)工艺中利用氧化硅掩模执行深的硅酮沟槽蚀刻。
【0003】在蚀刻高纵横比结构中已经显示出鲁棒(robust)性能的一种传统系统是CENTURA HARTTM蚀刻系统,可从位于加利福尼亚州圣克拉拉市的应用材料有限公司获得。HARTTM蚀刻系统利用磁增强反应离子刻蚀技术(MERIE)反应器能够蚀刻具有纵横比达到70∶1的沟槽,同时保持从中心到边缘百分之5的沟槽深度的均匀性。然而,为了能够制造具有亚90nm临界尺寸的集成电路,电路设计者已经提出要求要在甚至更高纵横比的情况下改善均匀沟槽的均匀性。这样,可期待改进蚀刻性能以使实现下一代器件成为可能。
【0004】因此,需要一种用于蚀刻高纵横比特征的改进装置。
实用新型内容
【0005】本实用新型的实施方式提供一种诸如处理室的装置,其适合于蚀刻高纵横比结构。其他的实施方式包括在处理室中使用的衬底支座。本说明书中所描述的衬底支座有益地促进了静电卡盘和位于其上的衬底的温度分布控制,由此实现对具有高纵横比的特征的更精确的蚀刻。在一些实施例中,衬底支座具有至少两个独立可控的和横向间隔开的温度控制区。在其它实施例中,通过控制设置在衬底支座中的插入物(insert)的数目、形状、尺寸、位置和传热系数,增强了温度分布控制。
【0006】在一个实施方式中,衬底支座包括静电卡盘,该静电卡盘包括由上壁、中壁和下壁限定的具有外部直径的主体。中壁的高度小于下壁的高度且大于上壁的高度。卡盘也包括嵌入其中的箝位电极。
【0007】在另一个实施方式中,适合用于半导体处理室中的衬底支座包括静电卡盘、基座和与基座耦合且部分伸入静电卡盘的主体的升降销导引组件(lift pin guide assembly)。
【0008】在另一个实施例中,一种适合用于半导体处理室中的衬底支座包括:静电卡盘,基座,和连接到所述基座且部分地延伸入所述主体的升降销导引组件。该静电卡盘包括:具有在阶梯状外壁上限定的具有上凸缘和下凸缘的陶瓷主体,所述上凸缘比所述下凸缘短;和嵌入所述主体中的箝位电极。
【0009】在另一个实施例中,一种适合用于半导体处理室中的衬底支座包括:静电卡盘,基座,和连接到所述基座且部分地延伸入所述主体的升降销导引组件。所述静电卡盘包括:具有在阶梯状外壁上限定的具有上凸缘和下凸缘的陶瓷主体,所述上凸缘比所述下凸缘短;嵌入所述主体中的箝位电极;设置在所述主体中的电阻加热器;设置在所述主体中的第一温度传感器;以及设置在所述主体中的第二温度传感器,所述第二温度传感器设置在所述第一温度传感器的径向向内侧。所述基座包括:在其中形成的至少两个隔离的冷却管道,所述冷却管道中适合流动传热流体;以及嵌入在所述基座中的绝热体,其在每个隔离的冷却管道之间。所述升降销导引组件包括:导引装置,其具有与所述主体的下表面中的凹槽啮合的上凸起和下凸起;以及保持装置,其连接到所述基座,且具有围绕所述下凸起的至少一部分延伸的套筒;以及夹在所述导引装置和所述保持装置之间的弹性元。
附图说明
【0010】为了能够详细地理解本实用新型的上述特征的方式,更具体地描述上诉简要概括的本实用新型,可参考实施方式进行,这些实施方式中的一些在附图中进行说明。然而,应该注意,附图仅说明本实用新型的一般实施方式,而不能被认为是限制它的范围,因为本实用新型可以包括其它等效的实施方式。
【0011】图1是本实用新型的处理室的一个实施方式的剖面图;
【0012】图2是喷头的一个实施方式的剖面图;
【0013】图3是图2的喷头的塞的一个实施方式的透视图;
【0014】图4是图2的喷头的剖面图;
【0015】图5是图2的喷头的另一个横截面图;
【0016】图6是沿着图5的剖切线6-6剖开的喷头的部分剖面图;
【0017】图7是喷头的另一个实施方式的剖面图;
【0018】图8是说明用于图1的处理室的气体的线路和控制的气体控制的一个实施方式的示意图;
【0019】图9-10是衬垫的一个实施方式的透视和部分剖面图;
【0020】图11是支撑覆盖环的一个实施方式的衬底支座组件的部分剖面图;以及
【0021】图12是说明升降销导引组件的一个实施方式的衬底支座组件的部分剖面图。
【0022】为了利于理解,只要可能,相同的附图标记代表各个图中共有的相同的元件。如果没有进一步的叙述,应该设想或认为一个实施方式中的元件有利地可以有利地用在其它实施方式中。
具体实施方式
【0023】图1是适合于在衬底144中蚀刻高纵横比结构的处理室100的一个实施方式的剖面图。尽管示出了处理室100包括有优异蚀刻性能的多个特征,可以设想可以使用其它的处理室,以得益于在此公开的本实用新型的一个或多个特征。
【0024】处理室100包括室主体102和封闭内部容积106的盖104。室主体102一般由铝、不锈钢或其他适合的材料制成。室主体102一般包括侧壁108和底110。衬底进气口(未示出)一般在侧壁108中形成且由狭缝阀选择地密封,以利于衬底144自处理室100进入和出去。在室主体102中形成有排气口126且连接内部容积106到泵系统128。泵系统128一般包括用于排气和调整处理室100的内部容积106的压力的一个或多个泵和节流阀。在一个实施方式中,泵系统128保持内部容积106内部的压强一般地在约10毫托(mTorr)到约20托(Torr)之间的工作压强。
【0025】盖104被密封地支撑在室主体102的侧壁108上。盖104可打开以允许进入处理室100的内部容积106。盖104包括利于光学工艺监视的窗142。在一个实施方式中,窗142包括石英或其它适合的材料,其能传输由光学监视系统140使用的信号。
【0026】设置光学监视系统140以通过窗142观测室主体102的内部容积106和/或在衬底支座组件148上设置的衬底144中的至少一个。在一个实施方式中,光学监视系统140连接到盖104且利于使用光学度量的集成蚀刻工艺,以提供使能够工艺调整以补偿入射图案不一致性(诸如CD、厚度等)的信息,提供工艺状态监视(诸如等离子体监视、温度监视等)和/或端点检测,等等。可调整以得益于本实用新型的一种光学监视系统是EyeD
Figure Y20062013270100091
全光谱干涉仪的度量组件(full-spectrum interferometric metrology module),可从位于加利福尼亚州圣克拉拉的应用材料有限公司获得。
【0027】在一个实施方式中,光学监视系统140能够测量CD、膜厚和等离子体属性。光学监视系统140可使用多种非破坏性的光学测量技术中的一种,诸如光谱测量、干涉测量、散射测量、反射测量等。例如,可配置光学监视系统140以执行干涉测量监视技术(例如,计数在时间域中的干涉条纹,在频率域中测量该条纹的位置等),以实时测量在衬底144上形成的结构的蚀刻深度轮廓。如何使用光学监视的范例的细节已经公开在2003年6月18日申请的、名称为“Method andSystem for Monitoring an Etch Process”的普通转让的序列号为No.60/479,601的美国申请,2002年7月2日出版的、名称为“FilmThickness Control Using Spectral Interferometry”的美国专利第No.6,413,837号,和2003年4月11日申请的、名称为“Process ControlEnhancement and Fault Detection Using In-Situ and Ex-situ Metrologiesand Data Retrieval In Multiple Pass Wafer Processing”的序列号为No.60/462,493的美国专利申请。
【0028】气体控制板158连接到处理室100以向内部容积106提供处理和/或清洗气体。在图1描绘的实施方式中,在盖104中提供进气口132’、132”以允许从气体控制板158输送气体到处理室100的内部容积106。
【0029】喷头组件130连接到盖104的内表面114。喷头组件130包括多个孔,允许气体从进气口132流经喷头组件130以跨过室100中被处理的衬底144表面的预定分布进入处理室100的内部容积106。
【0030】喷头组件130另外包括传输光学度量信号的区域。光学传输区或通道138适用于允许光学监视系统140观测内部容积106和/或在衬底支座组件148上设置的衬底144。通道138可以是形成或设置在喷头组件130内的孔或多孔的材料,其基本上能传输由光学测量系统140产生的或反射到光学测量系统140的能量的波长。在一个实施方式中,通道138包括窗142以阻止通道138的气体泄漏。窗142可以为蓝宝石板、石英板或其它适合的材料。作为选择,窗142可设置在盖104中。
【0031】在一个实施方式中,喷头组件130配置有多个区,其允许对进入到处理室100的内部容积106中的气流进行单独控制。在图1的实施方式中,喷头组件130具有通过独立的进气口132分别连接到气体控制板158的内部区134和外部区136。
【0032】图2是喷头组件130的一个实施方式的剖面图。喷头组件130一般包括基座202、上和下增压板(plenum plate)204、206、塞208和气体分配板210。上和下增压板204、206以间隔关系彼此连接且设置在基座202内形成的凹槽270内以限定喷头组件130的上部结构。在板204和206之间形成的增压区由阻挡壁236流体隔离成至少两个区。在图2描绘的实施方式中,壁236将外部通风系统220与内部通风系统218隔离开。通风系统218、220分别通过在基座202中形成的进气口132’、132”输入由通过基座202和上增压板204限定的气体供应222、224。下面详细公开的气体通道242被限定通过下增压板204和气体分配板210允许在通风系统218、222中的气体进入室100的内部容积106。选择通道242的数量和分布以提供进入室100的气体的预定分布。
【0033】在基座202中形成的凹槽270可包括一个或多个用于定位板204和206的台阶。在图2描绘的实施方式中,凹槽270包括内台阶240和外台阶284。内台阶240提供与设置的低增压板206相对的表面。在内台阶240和下增压板206之间提供一个未编号的密封件,以阻止气体泄漏。外台阶284提供进入基座202的凹槽,其允许气体分布板210覆盖在下增压板206和基座202之间限定的间隙。
【0034】基座202一般包括从内部的外直径286向外延伸的唇缘216。在唇缘216和内部的外直径286之间限定的凸缘288支撑在盖104和/或外衬垫上的喷头组件130。凸缘288一般与唇缘216和内部的外直径286垂直,其一般与室主体的中心线平行且同中心。
【0035】内部的外直径286在基座202的底表面290处终止。底表面290一般面对处理区,且同样可用诸如Y2O3的保护材料涂覆。
【0036】基座202也包括形成在其中的连接到液体源214的多个管道212。液体源214提供热传递或传热流体,诸如空气或水,其通过管道212循环以调整基座202和喷头组件130的温度。
【0037】通过喷头组件130形成通道138以利于由光学监视系统140监视室处理和/或衬底属性(attribute)。通道138包括共轴对准的孔226、264、254、262。在基座202中形成第一个孔226。在上增压板204中形成第二个孔264。在下增压板206中形成第三个孔254,而在气体分配板210中形成第四个孔262。窗142是密封地设置在通道138中以阻止气体泄漏通过喷头组件130到光学监视系统140。在图2描绘的实施方式中,在上增压板204中提供凹槽258以容纳窗142。提供在图2中没有标号的O型环,以将窗142密封到上增压板204和基座202。
【0038】塞208设置在至少在上增压板204中形成的第二个孔264中。配置塞208以传输由光学监视系统140使用的信号。在一个实施方式中,塞208包括多个高纵横比通道260,其允许光学监视系统140与室100的内部容积界面连接,同时在通道260中阻止等离子体形成。在一个实施方式中,通道260具有至少约10∶1、例如14∶1的纵横比(高度比直径)。在另一个实施方式中,通道260具有小于或相当于德拜长度和/或电子平均自由程的直径,例如小于约1.5mm,例如约0.9mm。在另一个实施方式中,通道260限定达到约60%的开口面积的开口面积。在另外的实施方式中,通过塞208形成约37个通道260。
【0039】在塞208中形成的通道260与在气体分配板210中形成的度量孔262对准。度量孔262群集在气体分配板210的中心,且具有适合利于有效传输度量信号通过气体分配板210的密度、直径(或宽度)、轮廓和开口面积。在一个实施方式中,孔262的数目和剖面轮廓与通道260类似。窗142使得通道260、262封闭气流的感应,而允许光学传输。这样,通道260、262和窗142利于由在室100内的光学监视系统140进行的光学监视,而不降低真空或等离子体破坏限定光学观察路径的结构。
【0040】在上增压板204中形成锥形的座256,其将第二个孔264与凹槽258相连。如图3中所示,配置锥形的座256以与塞208的喇叭口状部分304匹配。在头302和塞208的延长杆306之间设置喇叭口状部分304。
【0041】塞208一般由能与工艺化学物质共存的材料制成。在一个实施方式中,塞208由介电材料制成,诸如陶瓷。在另一个实施方式中,塞208为铝。
【0042】上和下增压板204、206连接到基座202。上增压板204也连接到下增压板206。一个实施方式中,上增压板204通过多个销228连接到下增压板206。销228的端部插入分别在上和下增压板204、206中形成的孔230、232。可以通过锁定化合物或粘接剂固定销228,或对其加压进行摩擦固定。图4的剖面图说明了销228从在下增压板206中形成的孔232延伸。由于孔230、232没有延伸通过各自的板204、206,阻止了销228周围的气体泄漏。
【0043】另外参考图5-6的剖面图,气体分配板210被连接到下增压板206或基座202中的至少一个。在一个实施方式中,粘接层502以限定其间多个环形通风系统508的方式连接气体分配板210到下增压板206。通风系统508允许沿着共同的半径或半径范围设置通道242,以流体地连接以增强在预定径向位置处的气体通过喷头组件130的流均匀性。
【0044】在一个实施方式中,粘接层502包括多个粘接环504和多个粘接珠506。多个粘接环504共心地设置以界定通风系统508。在环504之间也共心地设置多个粘接珠506。珠506与环504间隔开以允许气体在通道242之间围绕珠506共享共用的通风系统508。
【0045】转到图2,在下增压板206中形成的通道242的部分一般包括第一钻孔244、节流孔246和第二钻孔248。第一钻孔244对于通风系统220(或218)是敞开的以允许气体进入通道242。第二钻孔248与孔250对准,该孔250通过气体分配板210形成,用于输送气体到室100的内部容积106。
【0046】在一个实施方式中,钻孔244、248的直径和深度基本上大于相对的节流孔246的直径和深度,以利于有效制造通道242。在图2描绘的实施方式中,第一钻孔244对于通风系统220(或218)是敞开的,其与对于室100的内部容积106是敞开的第二钻孔248相比,具有较大的直径和较小的纵横比。
【0047】气体分配板210可以是平盘。以从气体分配板210的中心区向外的图案空间地分配孔250。一组孔250流体地连接到外部通风系统136,而另一组孔250流体地连接到内部通风系统134。孔250作为通道242的部分,允许气体通过气体分配板210并进入室100的内部容积106。
【0048】为了延长喷头组件130的使用寿命,气体分配板210至少用钇或它的氧化物中的一种制造或涂覆。在一个实施方式中,气体分配板210由大块钇或它的氧化物制造以抵抗氟化的化学物质。在另一个实施方式中,气体分配板210由大块Y2O3制造。
【0049】图7是喷头组件700的另一个实施方式。喷头组件700基本上类似于喷头组件138,具有塞708用于阻止在通道726内的等离子体激发以利于光学度量,该通道726穿过喷头组件700形成。在通道726内提供窗142作为气体阻挡。
【0050】喷头组件700包括连接到增压板704的基座板702。增压板704具有形成在其间的一对环形沟槽712、714,该对环形沟槽712、714由基座板702界定用于限定内部和外部通风系统716、718。通过各自的口132’、132”,从气体控制板158提供气体到通风系统716、718,从而允许在每个区134、136中独立地控制气体,所述区134、136从喷头组件700进入室100的内部容积106。
【0051】增压板704包括塞孔720用于接收塞708。塞孔720与在基座702中形成的孔706和在气体分配板710中形成的度量孔728对准以限定通道726。塞孔720一般包括用于接收窗142和锥形座724的凹槽722。锥形座724与塞708的喇叭口状部分接合以在增压板704内定位塞708。
【0052】图8是说明从气体控制板158到处理室100输送的气体的线路和控制的一个实施方式的示意图。气体控制板158一般包括连接到混合总管810和流控制器814的多个气体源。
【0053】一般,由控制阀808控制来自每个气体源的流量。控制阀808控制从源提供的流体的流量、速度、压力等中的至少一个。控制阀808可包括多于一个的阀、调整器和/或其它气流控制装置。
【0054】在一个实施方式中,气体控制板158包括至少一个直接气体源802、至少一个处理气体源804和至少一个载体气体源806。处理气体源804和载体气体源806通过独立的气体管道流体地连接到混合总管810。来自源804、806的不同气体在混合总管810中组合成为预定输送的气体混合物。这样,可通过选择性地打开各自的阀808选择在混合总管810中的预定输送的气体混合物的组分,以使预定组合的载体和处理气体804、806组合。例如,至少一种来自处理气体源804的处理气体、和任选地至少一种来自载体气体源806的载体气体在混合总管810中以任一组合的方式组合。处理气体的例子包括SiCl4、HBr、NF3、O2和SiF4等。载体气体的例子包括N2、He、Ar、对于本实用新型工艺呈惰性的其它气体和不反应的气体。
【0055】流控制器814通过初级气体供应装置812连接到混合总管810。配置流控制器814以将从混合总管810流来的预定输送气体混合物分成子混合物,通过单独的气体供应管道,该子混合物被输送到室100。一般地,气体供应管道的数目与在喷头组件130中限定的区域(或隔离的通风系统)的数目相当。在图8描绘的实施方式中,两个气体供应管道816、818连接流控制器814到各自的进气口132’、132”。
【0056】一般地配置流控制器814以控制流入每个供应管道816、818的气体子混合物的比率。以这种方式,可控制流入每个区的和最终到衬底144的每个区的气体子混合物的比率。流控制器814可使用电子或机械装置分开预定输送的气体混合物。在一个实施方式中,流控制器814响应来自控制器150的信号能动态地控制该比率,因此能够改变在成批衬底之间、衬底之间和/或现场(in-situ)处理单个衬底之间的该比率。在另一个实施方式中,设置流控制器814以使在管道816、818之间的该比率固定。可通过设置在流控制器814中的一个或多个节流口设置该比例,以使来自初级的气体供应装置812的流优选地在气体供应管道816、818之间分开。
【0057】在一个实施方式中,流控制器814提供到内部区134的气体比到外部区136的多。在另一个实施方式中,流控制器814提供到外部区136的气体比到内部区134的多。在另一个实施方式中,对于第一期衬底处理,流控制器814提供到内部区134的气体比到外部区136的多,接着改变现场处理衬底的比率,对于第二期衬底处理,提供到外部区136的气体比到内部区134的多。设想可配置流控制器814以以其它顺序或比率控制输送到处理室100中的不同区的流之间的比率。
【0058】从气体控制板158的直接注入气体源802提供直接注入的气体到处理室100的内部容积106。由阀808控制从直接注入气体源802注入的直接注入气体的流动的量。
【0059】在一个实施方式中,提供直接注入气体到供应管道816、818中的至少一个。在另一个实施方式中,直接注入的气体设置在(teed)两个直接供应管道820、822中,所述两个直接供应管道820、822分别设置于气体供应管道816、818中。在另一个实施方式中,提供直接注入的气体到连接到进气口132’、132”的至少一个气体供应管道。在另一个实施方式中,提供直接注入的气体到喷头组件130(700)的通风系统218、220(716、718)中的至少一个。
【0060】在图8中描绘的实施方式中,提供相同量的直接注入的气体到每个区134、136。任选地,可使用第二流控制器824(未示出,且与流控制器814类似)以提供不同比率的直接注入的气体到每个区134、136。
【0061】转到图1,在喷头组件130下面的处理室100的内部容积106中设置衬底支座组件148。在处理期间,衬底支座组件148保持固定衬底144。衬底支座组件148一般包括多个升降销或杆(未示出),通过设置升降销从支座组件148举升衬底且利于以传统的方式用自动机械手(未示出)替换衬底144。
【0062】在一个实施方式中,衬底支座组件148包括安装板162、基座164和静电卡盘166。安装板162连接到室主体102的底部110,并且包括用于引导到基座164和静电卡盘166的设施通道,诸如流体输送用的通道、输电线和传感器引线用的通道等。
【0063】基座164或卡盘166中的至少一个可包括至少一个任选的嵌入的加热器176、至少一个任选的嵌入的隔离体174和多个导管以控制支座组件148的横向温度分布。在图1中描绘的实施方式中,在基座164中设置一个环形的隔离体174和两个导管168、170,而在卡盘166中设置电阻式加热器176。导管流体地连接到温度控制流体在其中循环的流体源172。由电源178控制加热器176。使用导管168、170和加热器176以控制基座164的温度,从而加热和/或冷却静电卡盘166,从而至少部分地控制设置在静电卡盘166上的衬底144的温度。
【0064】在基座164中形成的两个独立的冷却通道168、170限定至少两个独立可控的温度区。设想可设置另外的冷却通道和/或通道分布以限定另外的温度控制区。在一个实施方式中,将第一冷却通道168设置在第二冷却通道170的径向向内侧,以使温度控制区同心。设想可径向定向通道168、170,或具有其它的几何配置。冷却通道168、170可连接到温度控制的传热流的单个源172,或可分别连接到独立的传热流体源。
【0065】隔离体174由与基座164附近区的材料相比具有不同导热系数的材料制成。在一个实施方式中,隔离体174具有的导热系数比基座164的导热系数小。在另一个实施方式中,隔离体174由具有各向异性的(即,依赖于方向)导热系数的材料制成。相对于通过基座164的邻近部分的传热速率,隔离体174起到局部地改变基座组件148通过基座164到导管168、179之间的传热速率的作用,基座的邻近部分在传热路径上没有隔离体。在第一和第二冷却通道168、170之间横向地设置隔离体174,以在通过衬底支座组件148限定的温度控制区之间提供增强的隔热作用。
【0066】在图1描绘的实施方式中,在管168、170之间设置隔离体174,从而阻碍横向的传热并且促进横跨衬底支座组件148的横向温度控制区。这样,通过控制插入物(insert)的数目、形状、尺寸、位置和传热系数,可控制静电卡盘166和位于其上的衬底144的温度分布。尽管在图1中描绘的隔离体174的形状为环形环,但隔离体174的形状可采用任何数量的其它形状。
【0067】在基座164和静电卡盘166之间设置任选的导热膏或粘接剂(未示出)。导热膏利于在静电卡盘166和基座164之间的热交换。在一个示例实施方式中,粘接剂机械地连接静电卡盘166到基座164。可替换地(未示出),衬底支座组件148可包括调整用于紧固静电卡盘166到基座164的五金器具(例如,夹具、螺丝等)。
【0068】使用多个传感器监视静电卡盘166和基座164的温度。在图1中描绘的实施方式中,以径向间隔开定位的方式示出第一温度传感器190和第二温度传感器192,以使第一温度传感器190可提供具有支座组件148中心区的度量指示温度的控制器150,第二温度传感器192提供具有支座组件148的周边区的度量指示温度的控制器150。
【0069】静电卡盘166设置在基座164上且由覆盖环146围绕。静电卡盘166可由铝、陶瓷或在处理期间适合支撑该衬底144的其它材料制成。在一个实施方式中,静电卡盘166是陶瓷的。可替换地,可由真空卡盘、机械卡盘或其它适合的衬底支座替代静电卡盘166。
【0070】静电卡盘166一般由陶瓷或类似绝缘材料制成,且包括至少一个使用夹具电源182控制的箝位电极180。电极180(或设置在卡盘166或基座164上的其它电极)可进一步连接到一个或多个RF电源用于保持由在处理室100内的工艺气体和/或其它气体形成的等离子体。
【0071】在图1描绘的实施方式中,通过匹配电路188连接电极180到第一RF电源184和第二RF电源186。源184、186一般能产生具有从约50kHz到约3GHz频率的RF信号,且功率可达约10,000瓦。匹配网络188使源184、186的阻抗与等离子体的阻抗匹配。单一馈电(single feed)将能量从两个源184、186耦合到电极180。可替换地,通过独立的馈电将每个源184、186耦合到电极180。
【0072】静电卡盘166也可包括至少一个由电源178控制的嵌入的加热器176。静电卡盘166可进一步包括多个气体通道(未示出),诸如沟槽,其在衬底中形成支撑卡盘的表面且流体地连接到传热(或背侧)气体的源。在工作中,提供背侧气体(例如,氦(He))在控制的压力下进入气体通道,以增加在静电卡盘166和衬底144之间的传热。传统地,至少静电卡盘的衬底支座表面176被提供有在处理衬底期间抗化学物质和温度的涂层。
【0073】图12是说明升降销导引组件1200的一个实施方式的衬底支座组件148的部分剖面图。一般地,在衬底支座组件148中使用至少三个升降销导引组件1200。
【0074】升降销导引组件1200主要地设置在衬底支座组件148的基座164中形成的梯状孔1204中。梯状的孔1204与通过静电卡盘166形成的孔1202对准。升降销导引组件1200的一部分延伸入孔1202,从而在基座164和卡盘166之间提供对准结构。
【0075】升降销导引组件1200一般包括导引装置1210和保持装置1218。在导引装置1210和保持装置1218之间设置弹性元件1220以在升降销导引组件1200进入衬底支座组件148内提供组件上缓冲,并调节组件148、1200的热膨胀和收缩。在一个实施方式中,弹性元件1220是由工艺的化学物质和工艺环境相容的弹性材料构成的O型环。
【0076】导引装置1210包括具有上凸起1240和下凸起1228的主体1216。导引装置1210也包括容纳通过升降销导引组件1200的升降销(未示出)通道的同心的第一和第二孔1224、1226。上凸起1240延伸进入在面对基座164的静电卡盘166的表面中形成的凹槽1238并与其啮合。下凸起1228外套有从保持装置1218延伸的套筒1230并与其啮合。在下凸起1228和主体1216之间设置底切部1222以保持弹性元件1220。
【0077】保持装置1218包括从套筒1230延伸的螺纹部分1232。螺纹部分1232包括打入或钉入结构(drive feature)1234以利于将保持装置1218拧入基座164。打入结构1234可以是狭槽、大圆头螺钉孔、六角形孔、扳手孔或用于旋转保持装置1218的其它结构。通过保持装置1218形成的通道1236与导引装置1210的孔1224、1226对准以容纳升降销。
【0078】在基座164中形成的梯状孔1204包括主孔1208、台阶1206和进气口孔1212。台阶1206延伸进入主孔1208,而进气口孔1212包括用于与保持装置1218啮合的螺纹部分1214。通过紧固保持装置1218进入基座164的螺纹部分1232,保持装置1218接触弹性元件1220,其朝向在基座164中形成的梯状孔1204的台阶1206偏置主体1216,从而将升降销导引组件1200固定在衬底支座组件148的基座164中。
【0079】图9-10描绘了外衬垫116的一个实施方式的透视分解图和部分剖面图。外衬垫116可由等离子体或抗氟材料制成和/或涂覆有等离子体或抗氟材料。在一个实施方式中,外衬垫116由铝制成。在另一实施例中,外衬垫116由钇、钇合金或它的氧化物制成或涂覆有钇、钇合金或它的氧化物。在另一个实施方式中,外衬垫116由大块Y2O3制成。内衬垫118可由同样的材料制成。
【0080】在图9-10描绘的实施方式中,外衬垫116包括上衬垫902和下衬垫904。下衬垫904的上边908被构造成与上衬垫902的下边910配合,例如,以兔耳形接头连接。
【0081】所构造的下衬垫904一般地为中空圆柱以紧贴侧壁108的内表面112固定。下衬垫904包括与室主体102的排气口126对准的槽口或端口906,以利于抽空和排空内部容积106。
【0082】上衬垫902一般地包括具有从它的上部部分延伸的法兰912的主体914。法兰912一般地为多角形形式,在此描绘的一个实施方式中,多角形法兰912的指数被截成约45度的角。
【0083】主体914一般地为圆柱的形式,其具有内壁916和外壁934。唇缘918从内壁916向内延伸且提供用于在室100中安装喷头组件130的支撑平台。在唇缘918中形成O型环沟槽920,以提供与喷头组件130的气体密封。
【0084】可在上衬垫902的主体914中提供孔928,以允许通过在室主体102中形成的窗(未显示)视觉检查内部容积106。可由可移动的窗插入物924覆盖上衬垫902的外壁934的环绕孔928的部分。窗插入物924在上衬垫902的凹陷(未示出)中由多个紧固件926固定以使插入物924和外壁934齐平。这样,随着窗插入物924的保护涂层与窗/室主体界面接触而磨损,可在保护涂层破裂从而暴露出外衬垫116的基座材料之前替换窗插入物924。
【0085】在圆柱914中形成狭槽938以允许衬底进入和离开室100的通道。在上衬垫902环绕狭槽938的外壁934中形成凹槽932。在狭槽938之上设置可移动的门插入物930以保护衬垫902的表面不因与狭缝阀端口接触而磨损。插入物930具有狭槽940,其与在上衬垫902中形成的狭槽938对准,以利于衬底通道通过外衬垫116。插入物930由多个紧固件936固定在凹陷932中,以使插入物930和外壁934齐平。这样,随着插入物930的保护涂层由于与狭缝阀端口/室主体界面接触而磨损,可在保护涂层破裂从而暴露出外衬垫116的基座材料之前替换窗插入物930。插入物924、930一般地由与衬垫相同的材料制成和/或用与衬垫相同的材料涂覆。
【0086】图11描绘了与覆盖衬底支座组件148的外部上表面的覆盖环146啮合的内衬垫118的一个实施方式。内衬垫118一般包括较大直径的上部分1140和较小直径的下部分1142。倾斜部分在衬垫188的外直径上形成,以连接较大直径的上部分1140和较小直径的下部分1142。
【0087】凸缘1132从部分1140、1142的接合处向内延伸。凸缘1132具有定位内衬垫118与衬底支座组件148的底表面1134。在凸缘1132的上表面形成O型环沟槽1136以密封内衬垫118。
【0088】在衬底支座组件148上设置覆盖环146且与内衬垫118的上终端1128交错。覆盖环146一般具有由等离子体和/或抗化学物质的材料制成和/或涂覆有等离子体和/或抗化学物质的材料的环形主体1102。在一个实施方式中,覆盖环146由钇或其氧化物制成和/或用钇或其氧化物涂敷。在一个实施方式中,气体覆盖环146由大块钇制成以提供耐氟化的化学物质的性能。在另一个实施方式中,覆盖环146由石英制成。
【0089】主体1102一般具有顶表面1104和底表面1126。第一脊1118、第二脊1122和第三脊1120从主体1102的底表面1126向下延伸。在图11描绘的实施方式中,脊1118、1122、1120是同心的环。
【0090】在覆盖环146的内部部分上设置第一和第二脊1118、1122,且其间限定有狭槽,该狭槽用于在其中容纳内衬垫118的上端1128。第一脊1118与第二脊1122相比从主体1102进一步延伸。第三脊1120与第二脊1122相比也从主体1102进一步延伸。第三脊1120延伸进入在衬底支座组件148中形成的狭槽1180,从而在覆盖环146和支座组件148之间固定方向。
【0091】小突出部1116从主体1102径向向内延伸接近第三脊1120。小突出部1116包括与静电卡盘166的上表面1152基本上共面的上表面1150。当衬底设置在衬底支座组件148上时,衬底的周边(图11中未示出)覆盖在静电卡盘166和小突出部1116的上表面1150之间的界面上。
【0092】在小突出部1116和主体1102的顶表面1104之间设置有内壁1114。内壁1114具有比小突出部1116的内直径大的直径。一般,选择内壁1114的直径以提供与衬底适当的间隙。
【0093】主体1102的顶表面1104一般包括内部区1110和外部区1108。相对于外部区1108,内部区1110是凸起的。可定向内部区1110平行于顶表面1104的外部区1108。在图11描绘的实施方式中,倾斜区1112在顶表面1104的内部和外部区1110、1108之间形成过渡。
【0094】图11也包括静电卡盘166的一个实施方式的细节。静电卡盘166包括在卡盘的上表面1152和下表面1198之间限定的阶梯状的外部直径表面。阶梯状的外部直径一般包括上壁1188、中壁1192和下壁1196。壁1188、1192、1196一般是垂直的,上壁1188比中壁1192更短。中壁1192比下壁1196更短。上壁1188开始于上表面1152且向下延伸到上凸缘1190。上凸缘1190连接上壁1188到中壁1192。下凸缘1194连接中壁1192和下壁1196。下壁1196连接到底表面1198。凸缘1190、1194一般是水平的,下凸缘1194比上凸缘1190大。由壁1188、1192、1196和凸缘1190、1192形成的阶梯状的外部直径产生与覆盖环146匹配的保型轮廓,并且该保型轮廓将该覆盖环146保持在衬底支座组件148的预定位置。
【0095】在工作中,可使用处理室100以在衬底中蚀刻高纵横比结构特征。在一个实施方式中,在室100中可执行设置在衬底上的硅层中蚀刻高纵横比比率沟槽。用图案化的掩模覆盖硅层,如常规实践中使用的方式。该方法开始于调整室的压强在大约0到大约300毫托(mT)之间。衬底被用约500到大约2800(W)瓦的偏置功率偏置。在一个实施方式中,施加的偏置功率大约为2百万赫(MHz)频率。
【0096】通过向衬底支座组件施加大约500到大约2800W的功率,维持自通过喷头组件的多个气流区提供的气体而形成的等离子体。在一个实施方式中,施加大约60MHz的功率。施加磁B-场穿过具有约0到约140高斯(G)之间的室。通过掩模中的开口,等离子体蚀刻硅层,以形成具有纵横比达到至少80∶1的沟槽。
【0097】工艺混合物,直接注入和惰性气体被提供到室用于等离子体蚀刻。混合物可包括HBr、NF3、O2、SiF4、SiCl4、和Ar中的至少一种。在一个实施方式中,提供给混合总管的工艺气体包括HBr和NF3,而可任选地提供O2、SiF4和SiCl4。在一个示例实施方式中,提供约50到约500sccm之间的HBr、约10到约200sccm之间的NF3、约0到约200sccm之间的O2、约0到约200sccm之间的SiF4、约0到约200sccm之间的SiCl4、和在约0到约200sccm之间的Ar到用于适合蚀刻300mm衬底的工艺的混合总管。以与特征密度、尺寸和横向位置匹配的选择流量比将经混合的气体提供到通风系统。可使用SiCl4作为直接注入气体,绕过混合总管提供到喷头组件的通风系统。
【0098】已经表明,上述处理室蚀刻高纵横比结构特征在整个衬底表面具有良好的均匀性。常规处理室和上述处理室中执行的蚀刻硅工艺之间的比较数据显示,上述处理室或称本实用新型的处理室中执行的工艺显示出边缘到中心的纵横比的一致性得到改进。常规的系统可具有的边缘到中心的纵横比之间的比值为约1.35,而上述处理室具有的边缘到中心的纵横比之间的比值为约1.04,这使得本实用新型的室适合于下一代器件的制造。
【0099】虽然前面描述了本实用新型的实施方式,在不偏离本实用新型的基本范围的前提下,可以设计出本实用新型的进一步的或其它的实施方式。本实用新型的保护范围以所附的权利要求确定。

Claims (21)

1.一种适合用于半导体处理室中的衬底支座,其特征在于,该衬底支座包括:
静电卡盘,该静电卡盘包括:
具有由上壁、中壁和下壁限定的外部直径的主体,其中所述中壁的高度小于所述下壁的高度且大于所述上壁的高度;以及
嵌入所述主体中的箝位电极。
2.根据权利要求1所述的衬底支座,其特征在于,该主体进一步包括陶瓷。
3.根据权利要求1所述的衬底支座,其特征在于,进一步包括:
在所述上壁和所述中壁之间限定的上凸缘;和
在所述中壁和所述下壁之间限定的下凸缘。
4.根据权利要求3所述的衬底支座,其特征在于,所述上凸缘比所述下凸缘短。
5.根据权利要求1所述的衬底支座,其特征在于,进一步包括:
设置在所述主体中的电阻式加热器。
6.根据权利要求1所述的衬底支座,其特征在于,进一步包括:
设置在所述主体中的至少一个温度传感器。
7.根据权利要求1所述的衬底支座,其特征在于,进一步包括:
设置在所述主体中的第一温度传感器,以及
第二温度传感器,其设置在在所述主体中,在所述第一传感器的径向向内侧。
8.根据权利要求1所述的衬底支座,其特征在于,进一步包括:
基座;以及
连接到所述基座且部分地延伸进入所述主体的升降销导引组件。
9.根据权利要求8所述的衬底支座,其特征在于,所述基座进一步包括:
在所述基座中形成的至少两个隔离的冷却管道,所述管道中适合流动传热流体。
10.根据权利要求9所述的衬底支座,其特征在于,所述基座进一步包括:
嵌入在所述基座中的热隔离体,其在每个隔离的冷却管道之间。
11.根据权利要求8所述的衬底支座,其特征在于,升降销导引组件进一步包括:
导引装置,其具有与所述主体的下表面中的凹槽啮合的上凸起和下凸起;以及
保持装置,其连接到所述基座,且具有围绕所述下凸起的至少一部分延伸的套筒。
12.根据权利要求11所述的衬底支座,其特征在于,所述升降销导引组件进一步包括:
夹在所述导引装置和所述保持装置之间的弹性元件。
13.一种适合用于半导体处理室中的衬底支座,其特征在于,该衬底支座包括:
静电卡盘,该静电卡盘包括:
具有在阶梯状外壁上限定的具有上凸缘和下凸缘的陶瓷主体,所述上凸缘比所述下凸缘短;以及
嵌入所述主体中的箝位电极;
基座;以及
连接到所述基座且部分地延伸入所述主体的升降销导引组件。
14.根据权利要求13所述的衬底支座,其特征在于,所述阶梯状外壁进一步包括:
上壁;
中壁;以及
下壁。
15.根据权利要求14所述的衬底支座,其特征在于,所述中壁的高度比所述下壁的高度小且比所述上壁的高度大。
16.根据权利要求15所述的衬底支座,其特征在于,进一步包括:
设置在所述主体中的电阻式加热器;
设置在所述主体中的第一温度传感器;以及
设置在所述主体中的第二温度传感器,所述第二温度传感器设置在所述第一温度传感器的径向向内侧。
17.根据权利要求13所述的衬底支座,其特征在于,所述基座进一步包括:
在其中形成的至少两个隔离的冷却管道,所述冷却管道中适合流动传热流体。
18.根据权利要求17所述的衬底支座,其特征在于,所述基座进一步包括:
嵌入在所述基座中的热隔离体,其在每个隔离的冷却管道之间。
19.根据权利要求13所述的衬底支座,其特征在于,所述升降销导引组件进一步包括:
导引装置,其具有与所述主体的下表面中的凹槽啮合的上凸起和下凸起;以及
保持装置,其连接到所述基座,且具有围绕所述下凸起的至少一部分延伸的套筒。
20.根据权利要求19所述的衬底支座,其特征在于,所述升降销导引组件进一步包括:
夹在所述导引装置和所述保持装置之间的弹性元件。
21.一种适合用于半导体处理室中的衬底支座,其特征在于,该衬底支座包括:
静电卡盘,该静电卡盘包括:
具有在阶梯状外壁上限定的具有上凸缘和下凸缘的陶瓷主体,所述上凸缘比所述下凸缘短;
嵌入所述主体中的箝位电极;
设置在所述主体中的电阻加热器;
设置在所述主体中的第一温度传感器;以及
设置在所述主体中的第二温度传感器,所述第二温度传感器设置在所述第一温度传感器的径向向内侧;以及
基座,所述基座包括:
在其中形成的至少两个隔离的冷却管道,所述冷却管道中适合流动传热流体;以及
嵌入在所述基座中的绝热体,其在每个隔离的冷却管道之间;以及
升降销导引组件,其连接到所述基座且部分地延伸入所述主体,所述升降销导引组件包括:
导引装置,其具有与所述主体的下表面中的凹槽啮合的上凸起和下凸起;以及
保持装置,其连接到所述基座,且具有围绕所述下凸起的至少一部分延伸的套筒;以及
夹在所述导引装置和所述保持装置之间的弹性元件。
CNU2006201327011U 2006-05-03 2006-06-30 适合于蚀刻高纵横比结构的衬底支座 Expired - Lifetime CN201054347Y (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/381,523 2006-05-03
US11/381,523 US8475625B2 (en) 2006-05-03 2006-05-03 Apparatus for etching high aspect ratio features
US11/421,208 2006-05-31

Publications (1)

Publication Number Publication Date
CN201054347Y true CN201054347Y (zh) 2008-04-30

Family

ID=38660163

Family Applications (3)

Application Number Title Priority Date Filing Date
CNU2006201327011U Expired - Lifetime CN201054347Y (zh) 2006-05-03 2006-06-30 适合于蚀刻高纵横比结构的衬底支座
CNU200620134727XU Expired - Lifetime CN200988860Y (zh) 2006-05-03 2006-08-21 适于覆盖半导体处理腔室的至少一部分内壁的上腔室衬垫
CN2007800002081A Expired - Fee Related CN101473060B (zh) 2006-05-03 2007-05-02 适合于蚀刻高纵横比特征的真空处理室

Family Applications After (2)

Application Number Title Priority Date Filing Date
CNU200620134727XU Expired - Lifetime CN200988860Y (zh) 2006-05-03 2006-08-21 适于覆盖半导体处理腔室的至少一部分内壁的上腔室衬垫
CN2007800002081A Expired - Fee Related CN101473060B (zh) 2006-05-03 2007-05-02 适合于蚀刻高纵横比特征的真空处理室

Country Status (2)

Country Link
US (1) US8475625B2 (zh)
CN (3) CN201054347Y (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102105253A (zh) * 2008-07-23 2011-06-22 东京毅力科创株式会社 高温静电卡盘和使用方法
CN104282611A (zh) * 2013-07-09 2015-01-14 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其静电夹盘
CN107644832A (zh) * 2016-07-20 2018-01-30 朗姆研究公司 用于系统维护期间储存和组织mca特征和晶片传送销的设计

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5337482B2 (ja) * 2006-05-09 2013-11-06 株式会社アルバック 薄膜製造装置
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20090178763A1 (en) 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
US8800484B2 (en) * 2008-07-09 2014-08-12 Tokyo Electron Limited Plasma processing apparatus
US8287650B2 (en) 2008-09-10 2012-10-16 Applied Materials, Inc. Low sloped edge ring for plasma processing chamber
CN101930891B (zh) * 2009-06-25 2012-08-22 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室和内衬装置
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
JP5395633B2 (ja) * 2009-11-17 2014-01-22 東京エレクトロン株式会社 基板処理装置の基板載置台
TWI431149B (zh) * 2009-12-24 2014-03-21 Lig Adp Co Ltd 化學氣相沈積設備及其控制方法
JP5675138B2 (ja) * 2010-03-25 2015-02-25 東京エレクトロン株式会社 プラズマ処理装置
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5700806B2 (ja) * 2011-03-04 2015-04-15 株式会社日立国際電気 基板支持台、基板処理装置及び半導体装置の製造方法
FR2974251B1 (fr) 2011-04-18 2013-11-01 Ecole Polytech Dispositif pour la gestion thermique d'un élément optique et procédé de gestion thermique associe.
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9633890B2 (en) 2011-12-16 2017-04-25 Lam Research Ag Device for treating surfaces of wafer-shaped articles and gripping pin for use in the device
US20140026816A1 (en) * 2012-07-27 2014-01-30 Applied Materials, Inc. Multi-zone quartz gas distribution apparatus
US20140053984A1 (en) * 2012-08-27 2014-02-27 Hyun Ho Doh Symmetric return liner for modulating azimuthal non-uniformity in a plasma processing system
US20140060435A1 (en) 2012-09-04 2014-03-06 Applied Materials, Inc. Doors for high volume, low cost system for epitaxial silicon deposition
CN102865250B (zh) * 2012-09-27 2017-04-19 上海华虹宏力半导体制造有限公司 用于半导体制造的泵抽吸端口的遮挡盖
TWI559429B (zh) * 2012-12-07 2016-11-21 旺宏電子股份有限公司 半導體處理裝置及處理半導體晶圓的方法
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US10332772B2 (en) 2013-03-13 2019-06-25 Applied Materials, Inc. Multi-zone heated ESC with independent edge zones
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
CN104916564B (zh) * 2014-03-13 2018-01-09 北京北方华创微电子装备有限公司 反应腔室以及等离子体加工设备
US10221483B2 (en) * 2014-05-16 2019-03-05 Applied Materials, Inc. Showerhead design
US9840777B2 (en) * 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
JP6710783B2 (ja) * 2016-06-15 2020-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
CN106128978A (zh) * 2016-07-21 2016-11-16 无锡宏纳科技有限公司 可查看集成电路制造过程的装置
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
CN107516625A (zh) * 2017-07-13 2017-12-26 江苏鲁汶仪器有限公司 一种等离子体刻蚀系统的喷淋头
JP2021521648A (ja) * 2018-04-17 2021-08-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 加熱されるセラミック面板
CN110534448B (zh) * 2018-05-25 2022-03-22 北京北方华创微电子装备有限公司 气体集成块结构、工艺腔室及半导体加工设备
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
CN111211067A (zh) * 2018-11-22 2020-05-29 东泰高科装备科技有限公司 工艺腔室和半导体处理设备
WO2020149972A1 (en) * 2019-01-15 2020-07-23 Applied Materials, Inc. Pedestal for substrate processing chambers
US11427910B2 (en) * 2020-10-20 2022-08-30 Sky Tech Inc. Atomic layer deposition equipment capable of reducing precursor deposition and atomic layer deposition process method using the same
US11687005B1 (en) * 2022-02-14 2023-06-27 Applied Materials Israel Ltd. Preparing a substrate with patterned regions for immersion based inspection

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5427621A (en) * 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
US5549756A (en) * 1994-02-02 1996-08-27 Applied Materials, Inc. Optical pyrometer for a thin film deposition system
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5910221A (en) 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
JP4387022B2 (ja) 2000-02-07 2009-12-16 東京エレクトロン株式会社 終点検出方法
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
US7220937B2 (en) 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
JP3764639B2 (ja) 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20050136657A1 (en) 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20050016684A1 (en) * 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7311779B2 (en) 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
JP4020397B2 (ja) * 2004-06-14 2007-12-12 惠次 飯村 点光源を用いた面光源
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102105253A (zh) * 2008-07-23 2011-06-22 东京毅力科创株式会社 高温静电卡盘和使用方法
CN102105253B (zh) * 2008-07-23 2014-06-04 东京毅力科创株式会社 高温静电卡盘和使用方法
CN104282611A (zh) * 2013-07-09 2015-01-14 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其静电夹盘
CN107644832A (zh) * 2016-07-20 2018-01-30 朗姆研究公司 用于系统维护期间储存和组织mca特征和晶片传送销的设计
CN107644832B (zh) * 2016-07-20 2023-09-29 朗姆研究公司 用于系统维护期间储存和组织mca特征和晶片传送销的设计

Also Published As

Publication number Publication date
CN101473060B (zh) 2013-07-10
CN200988860Y (zh) 2007-12-12
US8475625B2 (en) 2013-07-02
CN101473060A (zh) 2009-07-01
US20070256785A1 (en) 2007-11-08

Similar Documents

Publication Publication Date Title
CN201054347Y (zh) 适合于蚀刻高纵横比结构的衬底支座
CN105185729B (zh) 适合于蚀刻高纵横比特征的真空处理室
CN105463409B (zh) 改善气流的喷头支撑结构
KR101514942B1 (ko) 반도체 장치의 rf 전력 전달 시스템
TWI643290B (zh) 在半導體製造中可流動沈積之系統及裝置
CN101308771B (zh) 气体流动扩散器
CN105624646B (zh) 借助可再入流路径的阀歧管盲管消除
US20060196603A1 (en) Gas baffle and distributor for semiconductor processing chamber
KR200431206Y1 (ko) 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너
JPH01305524A (ja) プラズマcvd装置
KR200427163Y1 (ko) 높은 종횡비 피쳐의 에칭에 적합한 기판 지지부
CN105695957A (zh) 进气装置及半导体加工设备
CN220703790U (zh) 沉积设备
KR200173020Y1 (ko) 저압화학기상증착장치
KR20070120777A (ko) 유량 조절 유니온
KR20000015583U (ko) 종형확산로의 가스분사관
JPH02294028A (ja) 半導体装置の製造装置
KR20040103066A (ko) 반도체 제조용 가스 분사 장치
KR20050095413A (ko) 반도체 소자 제조용 화학 기상 증착 설비

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20080430

EXPY Termination of patent right or utility model