CN1732557B - 处理半导体材料的方法 - Google Patents

处理半导体材料的方法 Download PDF

Info

Publication number
CN1732557B
CN1732557B CN200380100952.0A CN200380100952A CN1732557B CN 1732557 B CN1732557 B CN 1732557B CN 200380100952 A CN200380100952 A CN 200380100952A CN 1732557 B CN1732557 B CN 1732557B
Authority
CN
China
Prior art keywords
semiconductor layer
lattice constant
growth
strain
dislocation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200380100952.0A
Other languages
English (en)
Other versions
CN1732557A (zh
Inventor
伊戈尔·J·玛利克
斯伦·G·康
马丁·菲尔范格
哈丽·柯克
阿里尔·弗莱特
迈克尔·艾拉·柯伦特
菲利普·詹姆斯·翁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silicon Genesis Corp
Original Assignee
Silicon Genesis Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon Genesis Corp filed Critical Silicon Genesis Corp
Priority claimed from PCT/US2003/031404 external-priority patent/WO2004034453A1/en
Publication of CN1732557A publication Critical patent/CN1732557A/zh
Application granted granted Critical
Publication of CN1732557B publication Critical patent/CN1732557B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本发明提供了一种形成应变半导体层的方法。该方法包括在晶圆上生长具有第一晶格常数的应变半导体层。该方法还包括对所述应变半导体层进行蚀刻退火,其中所述应变半导体层得到松弛。该方法控制半导体层的表面粗糙度。该方法还具有减少半导体层中的位错的意想不到的优点。

Description

处理半导体材料的方法
技术领域
本发明的实施例涉及在应变膜的退火与松弛过程期间控制表面粗糙度的生长与形态,更具体地说,涉及在松弛过程期间减少表面结晶位错。本发明的公开内容描述了应变层的非触式蚀刻退火。
背景技术
在传统技术中,许多半导体器件制造工艺利用平整表面。并且,随着半导体制造技术的进步,增加载流子的迁移率并减小晶格位错密度变得日益重要。通过减少位错来提高器件产率改善了制造效率和成本。
在传统技术中,硅层被用作有源器件的介质,在其上制备半导体器件。单晶硅具有对材料来说基本的特定载流子迁移率值。迁移率值在许多有源半导体器件中是关键参数。通常,期望增大或提高器件的载流子迁移率值以提高开关速度,从而提高所制备器件例如晶体管的性能。由于使用硅作为半导体材料具有许多重要和特殊的优点,所以非常希望采用增大硅迁移率的方法而不是使用难于加工的更高迁移率的材料,例如锗或砷化镓。
增大硅迁移率的一种实用方法是对硅层施加应变。通过使活性硅处于张力之下,可以实现明显更高的迁移率,从而使器件开关速度更高并且驱动电流更高。
产生这样的拉伸应变硅的一种方法包括在特定组分的松弛硅锗膜上外延生长硅层。这种效应的产生是由于硅的晶格常数(约5.43埃)小于完全松弛的硅锗合金膜的晶格常数。这种合金可以被设计成具有从5.43埃(100%硅)到5.65埃(100%锗)线性变化的晶格间距。对于纯锗膜来说,晶格间距比纯硅大了约4%。从而例如,Si75Ge25合金(25%的锗含量)将具有比硅大了约1%的晶格常数。
因此,可以通过在特定组分的松弛硅锗(SiGe)合金膜上外延生长器件硅膜,来方便地制备应变硅膜。
这种迁移率增大方法的主要复杂之处在于需要松弛的SiGe膜。如果SiGe膜生长在基础硅晶圆上,则该膜首先将以晶格匹配的方式生长为压缩层。这意味着SiGe合金将被压缩到天然硅晶格常数,并将产生应变。由于合金膜的功能需要松弛该压缩应变,所以必须有一个步骤来将SiGe合金松弛到它的无应变状态。这样的步骤必然在SiGe层中引入大量位错以适应晶格间距和体积的增大。在该松弛过程期间,该膜通常还“起皱”从而明显变粗糙。
表征实际的松弛SiGe合金膜的主要参数包括:所述膜已经从其应变状态被松弛的量(即,50%的松弛将意味着膜已经松弛了一半应变)、膜粗糙度和将影响随后应变硅器件膜的生长的位错缺陷密度。
由于半导体材料的电学特性与结晶缺陷高度相关,所以表面位错是影响半导体材料电学特性的关键参数。位错可以包括:将额外的半平面原子插入正常晶体结构中,整个一排原子从它们的正常晶格位置产生位移以及/或者晶体的一部分相对于晶体的另一部分产生位移。器件层上存在的位错可能会将p-n结短路,并且还散射均匀n型晶体中的电子,阻挡它们运动并减小它们的迁移率。位错还引起晶体晶格的高度局部畸变,导致形成“俘获”位置,在那里增大了正载流子(空穴)和负载流子(电子)的重新结合。举例来说,这可能引起来自n-p-n晶体管发射极的电子在能够在n型收集极区处被收集之前就与p型基极区中的空穴重新结合,从而减小了晶体管的电流增益。当1011原子/cm3的硅中只有一个原子从它们正常的晶格位置移开时,通过重新结合就会显著减小电子的“寿命”。尽管通过热退火可以从半导体材料中去除一些位错,但许多位错是永久性的并且热稳定。因此,许多松弛方法致力于最小化能被转移到器件层从而引起器件性能劣化、故障和成品率损失的类型的缺陷密度。
在根据传统技术的一种方法中,在足够低的温度下以从0%锗到所需合金组分缓慢变化的梯度生长SiGe合金,以生长没有位错的初始膜,并通过随后的退火,缓慢的梯度通过产生埋在SiGe层内部的位错而有助于适应膜松弛。该技术在Legoues & al.(美国专利#5659187“Low defectdensity/arbitrary lattice constant heteroepitaxial layers”)中有说明。为了限制穿越达到表面的位错的产生,SiGe梯度在每生长1000埃的SiGe膜时组分增加通常小于2%。这种浅梯度方法由于其相对厚的SiGe层组分而在产率方面很低,并且可能需要大量生长/退火周期来实现粗糙度和位错目标。
在根据传统技术的另一方法中,通过使用化学机械抛光(CMP)工艺可以减小表面粗糙度或SiGe合金层,诸如Fitzgerald(美国专利#6291321“Controlling threading dislocation densities in Ge on Si using graded GeSi layerand planarization”和美国专利#6107653“Controlling threading dislocationdensities in Ge on Si using graded GeSi layers and planarization”)所教导的那样。CMP将晶圆和摩擦垫之间的垂直力和浆料的化学反应相结合,用于将晶圆表面抛光成高平整状态。当用原子力显微镜(AFM)测量时,所得半导体表面的粗糙度一般可以减小到大约1埃RMS。然而,由于浆料和执行该工艺所需时间量的原因,CMP相对来说成本较高。此外,CMP工艺一般不减小晶圆中的位错密度。最后,由于需要大量的顺次工艺和晶圆装卸步骤,这种线性的生长/退火/CMP序列成本较高。
另一种方法使用错切割晶圆来帮助所生长的膜尽可能松弛,并适应晶格失配。例如参见Fitzgerald等人的专利(美国专利#6039803“Utilizationof miscut substrates to improve relaxed graded silicon-germanium andgermanium layers on silicon”),其中教导了下述改进,即使用从精确[100]取向偏离1到8度错切割的基础晶圆来帮助生长较少缺陷的松弛的第二半导体材料层。尽管基础衬底的错切割可以在一定程度上改进松弛缺陷密度,但这种改进通常被认为不足以用于前沿应用。
参考图1,示出了根据传统技术的工艺流程图。该工艺通过下述步骤产生松弛的SiGe合金材料膜:首先在基础晶圆上生长应变膜110,使应变膜经受退火步骤以松弛所述膜,同时产生表面粗糙化(起皱)和位错120,随后进行平坦化平滑步骤例如CMP 130。在诸如CMP的平坦化步骤之前使用诸如CVD(化学气相沉积)或MBE(分子束外延生长)的外延生长步骤使膜松弛制备过程复杂化,这是因为需要多种设备、清洁和晶圆装卸。这又会增加松弛膜制备工艺的制造成本。
现在参考图2A-图2C,示出了半导体层的多个横截面示图,以图示退火/CMP传统技术,诸如Fitzgerald所详细公开的。如图2A中所示的,由外延工艺形成单晶半导体表面,其中在基础硅晶圆220上生长应变SiGe膜210。半导体层由单晶硅锗构成,其表面粗糙度230大约为1-2埃RMS。硅锗层一般在足够低的温度下生长,在该温度下膜处于超临界应力下但不发生松弛。因而,位错缺陷密度240很低,在1个位错/cm2或更小的量级上。
如图2B所示的,在衬底上进行退火以松弛SiGe合金膜,这产生明显的表面粗糙化250和位错缺陷260。所得的表面可能具有超过200-300埃RMS的起皱粗糙化250以及超过大约107个位错/cm2的位错缺陷密度260。
如图2C所示,单独的CMP工艺通常将表面粗糙度270减小至大约1-5埃RMS。然而,CMP工艺通常不减少硅锗层210中的位错260,因而必须伴随全面的清洁工艺。
因而,由于平坦化工艺是成本相对较高且耗时的工艺,所以传统技术存在缺点。传统技术还具有相对高的位错水平。非常需要一种更好的低成本的方法,其能够完全松弛应变SiGe合金膜,同时控制表面粗糙度和位错缺陷水平。
发明内容
这里公开了一种用于对半导体层进行蚀刻退火的方法。除了在松弛过程期间控制粗糙度增加这个显著效果之外,该方法还具有充分减少位错的意想不到的优点。减小的位错密度是有利的,因为载流子迁移率与成品率增大了。
在一个实施例中,所述方法包括将应变的未松弛SiGe合金层暴露于氢气和例如氯化氢之类的卤素气体的混合物。卤素气体对氢气的比大约为0.001至10。该工艺在700-1200℃之间的高温下执行。执行该工艺持续足以去除合金膜材料的某一厚度的一段时间,从而保持低的并且受控的表面粗糙度。通过使用蚀刻退火,与传统退火工艺相比,最终的表面粗糙度可以减低大约50%或更高,并且位错密度可以减小大约两个数量级。
在另一个实施例中,提供了一种形成应变半导体层的方法。该方法包括在具有第一晶格常数的晶圆上生长具有渐变掺杂剂分布特性(profile)的应变第一半导体层。掺杂剂使第一半导体层具有第二晶格常数。所述方法还包括:在所述第一半导体层上生长具有第二晶格常数的应变全尺寸(boxed)第二半导体层,以及在所述第二半导体层上生长具有第一晶格常数的牺牲第三半导体层。所述方法还包括对第三和第二半导体层进行蚀刻退火,其中第三半导体层被去除,并且第二半导体层被松弛。所述方法还包括:在当前被松弛的第二半导体层上生长具有第二晶格常数的第四半导体层,其中所述第四半导体层是松弛的,以及在第四半导体层上生长具有第一半导体晶格常数的应变第五半导体层。所述方法控制半导体层的表面粗糙度。所述方法还具有减少半导体层中的位错的附加优点。
附图说明
在附图中,以示例而非限制的方式图示了本发明,附图中相同的标号指代相似的元件,其中:
图1示出了用于控制半导体材料的表面粗糙度和位错的传统技术工艺的流程图。
图2A示出了根据传统技术的具有初始表面粗糙度的应变半导体层的横截面视图。
图2B示出了根据传统技术的在退火步骤之后具有更高表面粗糙度和位错密度的松弛半导体层的横截面视图。
图2C示出了根据传统技术的在经传统退火的表面上执行CMP工艺之后具有平整表面的半导体层的横截面视图。
图3示出了根据本发明一个实施例的用于控制半导体材料的表面粗糙度和位错的工艺的流程图。
图4A示出了根据传统技术的具有初始表面粗糙度的应变半导体层的横截面视图。
图4B示出了在执行根据本发明一个实施例的方法之后具有平整表面和减小的位错密度的松弛半导体层的横截面视图。
图4C示出了在使用根据本发明一个实施例的方法制造的松弛半导体层上沉积的器件层的横截面视图。
图5A示出了根据传统技术的试验所得表面粗糙度。
图5B示出了根据本发明一个实施例的试验所得表面粗糙度。
图6A示出了根据传统技术的实验所得位错缺陷密度。
图6B示出了根据本发明一个实施例的试验所得位错缺陷密度。
图7示出了根据本发明一个实施例用于控制应变半导体层的表面粗糙度并减少位错的工艺的流程图。
图8A-图8C示出了根据本发明一个实施例的具有减小的表面粗糙度和减少的位错的应变半导体结构的横截面视图。
具体实施方式
下面将详细说明本发明的实施例,实施例的示例在附图中被图示。尽管将结合这些实施例来说明本发明,但是应当理解,它们不是意在将本发明限于这些实施例。相反,本发明意在覆盖可以被包含在权利要求限定的本发明范围之内的各种替换、修改和等同物。此外,在下文对本发明的详细描述中,为了充分理解本发明,阐述了许多具体细节。但是应当理解,本发明可以在没有这些具体细节的条件下实施。在其它示例中,为了不混淆本发明的多个方面,没有详细描述公知的方法、程序、组件和电路。
参考图3,示出了根据本发明一个实施例用于控制应变SiGe合金膜的表面粗糙度并减少位错的工艺的流程图。如图3中所示,工艺开始于步骤310,其中在晶圆上生长应变SiGe合金层,该SiGe合金层的表面基本平整且几乎没有原生(as-grown)的位错。由于长出的晶圆接近完全应变或完全应变,并且还没经历作为开始松弛过程的热循环,所以粗糙度较低。在步骤320,通过使用例如外延生长室让晶圆在蚀刻环境下经受高温退火,所述表面被“蚀刻退火”。类似的工艺被用于在被称作“外延平滑(epi-smoothing)”的工艺中平滑无应变膜。在2001年9月11日授权的题目为“Surface Finishing of SOI Substrates Using an EPI Process”的美国专利No.6,287,941中公开了外延平滑工艺,该专利通过引用被包含于此。由于所施加的用于松弛应变膜的蚀刻工艺控制总的粗糙度而非平滑表面,所以下文将其称作“蚀刻退火”。
蚀刻退火工艺包括将应变半导体材料的表面经受包括含卤素化合物的蚀刻剂,所述含卤素化合物例如是HCl、HF、HI、HBr、SF6、CF4、NF3、CCl2F2等。在700-1200℃的高温或更高温下执行蚀刻退火工艺。已经发现足以松弛应变SiGe膜的温度和蚀刻剂的同时使用有助于减少或消除位错的产生,同时降低表面的松弛粗糙化。这种有益的效果被认为与在无蚀刻退火期间存在的应力诱导螺旋尖端(stress inducing cycloidic cusptip)的减少有关(H.Gao & W.D.Nix,“Surface Roughening ofHeteroepitaxial Thin Films”,Annu.Rev.Mater.Sci.1999,29,pg.173-209)。在Gao和Nix的工作中,解释了由晶格失配引起的应变促使在具有周期性尖锐尖端的表面上产生波动外形,其中波动外形有助于在这些高应力的位置处产生位错。在膜松弛期间同时进行的蚀刻工艺被认为显著钝化或圆化了尖端,这减小了应力集中,进而通过影响位错的产生动力学来减小表面位错密度。蚀刻环境也不利于表面粗糙化。
蚀刻退火工艺可以在高温范围内执行,这将有助于远离表面来集中形成位错,以松弛SiGe层的晶格结构。热处理可以来自电阻加热器、RF加热器、高强灯等。热处理装置应当能够以大约10-20℃/秒或更高的速率加热半导体材料。
由于步骤310的应变膜生长是在外延生长反应器中进行的,并且蚀刻退火步骤320也是在相同系统中进行的,所以这些步骤的重复是直接进行的,并且可以充分利用该工艺的总的经济性,这是因为不需要任何清洁、外部退火或CMP平坦化步骤。随后器件层的生长330还可以在相同外延生长反应器中原位进行,这进一步改进了工艺的效率和成本。
蚀刻退火工艺以下述方式去除应变半导体材料,即钝化在膜松弛时在表面上形成的尖锐(粗糙化)特征。蚀刻速率是时间、温度以及蚀刻剂的类型与浓度的函数。因此,在蚀刻退火工艺期间控制这些参数就控制了蚀刻量。蚀刻退火工艺一直进行到与无蚀刻剂的退火相比,该工艺将表面粗糙度减小大约50%或更高为止。因此,蚀刻退火工艺用来在膜松弛期间控制表面粗糙化,使之足以用于随后的半导体器件制备工艺。该方法还具有将位错减小两个或更高数量级的附加优点。
此外,与局限于去除几十纳米或更少半导体材料的传统CMP工艺不同,蚀刻退火工艺可以用来去除多达几百纳米或更多的半导体材料。
蚀刻退火后的半导体层320可以用于在其上制备其它层或在其内制备器件区。所得半导体层330减小的位错密度有利地使载流子迁移率更高。更高的载流子迁移率改进了器件的特性,所述器件例如是场效应晶体管、双极晶体管等。
在示例性实现中,工艺在步骤310处以单晶硅锗(SiGe)的半导体层开始。原生的应变硅锗层具有大约2埃均方根(RMS)的粗糙度,并且具有小于大约1个位错/cm2
在步骤320处,硅锗层的表面在蚀刻退火工艺中在700-1200℃的高温下被暴露于含氯化氢的气体,从而:
SiGe(固态)+4HCl(气态)→SiCl4(气态)+2H2(气态)+Ge该工艺基本是用于生长硅锗层的外延沉积工艺的反过程。差异在于如果氯化氢的浓度太高的话,晶圆表面被蚀刻而非硅锗被沉积。蚀刻退火工艺在应变松弛工艺的同时去除硅锗,并且已被用来帮助降低不希望的位错的出现和表面粗糙化。因此,蚀刻退火工艺用来控制表面粗糙化并减小位错密度,同时基本实现完全的膜松弛。
在图4A-4C所图示的本发明的一个实施例中,通过在没有高成本的外部平坦化步骤的条件下实现可用的应变硅器件层,蚀刻退火工艺得到了比传统技术成本更低的替代方式。在图4A中,在基础硅晶圆420上生长应变SiGe层410,应变SiGe层410具有大约1-2埃RMS的低表面粗糙度430和1个位错/cm2或更小量级上的未松弛的低位错缺陷密度440。
如图4B所示,根据本发明的一个实施例,蚀刻退火工艺通常将表面粗糙度450控制到大约2-10埃RMS。本领域技术人员将知道,蚀刻退火不会影响产生位错的过程。然而,与在没有蚀刻环境的条件下进行退火所得到的大约107个位错/cm2相比,蚀刻退火工艺得到将位错密度减小到大约105个位错/cm2的意想不到的优点。蚀刻退火工艺在完全松弛膜方面也非常有效,进而使其适于作为基础来生长应变硅器件膜470,如图4C所示。
所得的松弛半导体层可以用于在其上制备半导体层或在其中制备器件区。半导体层的位错减少的特性有利地使载流子迁移率更高。半导体层中的高载流子迁移率改进了形成在其中的器件的特性。
现在参考图5A-5B和图6A-6B,这些图像图示了可由根据本发明一个实施例的方法获得的试验结果,这些试验结果在这里不应限制权利要求的范围。在本示例中,初始SiGe层是在680℃下生长的1500埃厚的恒定组分硅锗层(25%的锗含量)。所有原生晶圆在热处理或蚀刻退火处理之前具有亚纳米RMS的表面粗糙度。所有原生晶圆还在很大程度上是未松弛的,然而在1100℃下进行蚀刻退火或热处理之后,发现样品松弛到超过90%的水平。
一般而言,通过使用高分辨X射线衍射(HRXRD)由来自(224)面的掠入射/掠出射X射线反射来确定Si衬底上的SiGe层的应变状态的松弛。对于Si衬底上的SiGe层,通过比较来自Si衬底反射的两个反射光的角度偏移来确定松弛度。对于100%的松弛结构,SiGe晶格将是正立方体,两个SiGe(224)反射光(掠入射和掠反射)将从Si衬底反射偏移相同的角度。随着应变增大,这些偏移的差也增大。被进行300秒的1100℃热处理的Si-Ge(25%)层的松弛百分比的一般值超过90%,其中百分比松弛由下述公式给出:
Relax % = [ a SiGe - a Si a SiGe Re - a Si ] * 100
其中aSiGe和aSi分别是Si和SiGe的晶格常数。Re表示完全松弛。
如图5A所示,一个晶圆在1100℃下被热退火300秒,并具有超过60埃RMS的热处理后粗糙度,这被认为对器件加工来说太高。在本文中,粗糙度被简单地定义为晶圆表面高度的标准偏差。这通常被称作均方根(RMS)粗糙度。通过使用数字设备轻敲模式原子力显微镜(AFM)在2平方微米的面积上进行测量,来测量粗糙度。从晶圆表面的AFM图像获取高度或z值的标准偏差,来计算RMS粗糙度。图5B示出了经历1100℃、300秒蚀刻退火工艺的类似起始样品的AFM测量结果。该样品的RMS粗糙度被测得为约6埃,比没有进行蚀刻退火的等同热处理低了超过10倍。
在这些试验中,使用被称作Epi CenturaTM的Applied Materials单晶圆大气压“外延生长”室使表面经历热退火与蚀刻退火工艺。所述室具有两个模块,利用辐射灯阵列来向样品硅锗提供辐射热。所述室包括闭环温度控制,其使用的两个光测温计能够对所述室中的样品和承载气(susceptor)两者进行独立的温度测量。室温从生长温度以受控速率逐渐上升,并且随后在大约1100℃处保持300秒。引入到室中的承载气对热退火处理来说是H2气体,对蚀刻退火处理来说是HCl和H2气体的混合物。用于蚀刻退火处理的HCl流速小于1升/分钟,H2气体的流速大约为100升/分钟。室内气压通常保持在大约一个大气压下。其它参数以标准的方式进行控制。
松弛的机制必然伴有位错的形成,所述位错是线缺陷,线缺陷理论上局限于Si、SiGe界面并且平行于该界面。这些位错被称为错配位错。然而,在实际的松弛中,许多位错还从表面处出现。这些位错被称为螺旋位错。它们的产生主要是因为,熵只在与表面平行的方向上阻止松弛膜的自发形变。与所有的位错相似,螺旋位错是线位错,其中晶体晶格局部扭曲以适应晶体材料的塑性变形。如此,由于受力键和悬空键的原因,Si或SiGe的表面蚀刻速率在从表面出现的螺旋位错的附近处可能不同。为了测量本工作中的位错缺陷密度,使用稀释的Schimmel(5份0.2M的CrO3和4份49%的氢氟酸)来显露从表面出现的螺旋位错。延伸到表面的每个位错被该蚀刻溶液作用5到15秒,结果形成蚀刻凹点,该蚀刻凹点可以在利用衍射干涉对比度(DIC)的光学显微镜下看到,该光学显微镜有时被称作Nomarski显微镜。每单位面积下已知视场中的凹点数目被定义为位错密度。
如上所述进行处理的样品(图5A和5B)随后使用Schimmel蚀刻来进行标记用于得出位错缺陷密度。如图6A所示,根据传统技术处理的样品具有超过106个位错/cm2的Schimmel蚀刻位错缺陷密度。对经蚀刻退火后的样品进行类似标记,并且具有小于5×104个位错/cm2的低得多的位错缺陷密度。
这些结果清楚示出了蚀刻退火带给用于在基础晶圆上制备松弛晶格失配半导体层的工艺的显著质量与成本优势。
试验结果仅仅是示例性的,因而在这里不应当限制权利要求的范围。本领域普通技术人员会意识到,可以作出许多其它的变化、替代和修改。例如,在不脱离要求保护的本发明的范围的条件下,工艺可以在不同温度下、不同压强与流速下、使用不同的化学试剂等来执行。
参考图7,示出了根据本发明一个实施例用于控制应变半导体层的表面粗糙度并减少位错的工艺的流程图。如图7所示,工艺开始于步骤710,在晶圆上生长应变渐变第一半导体层。该晶圆包括具有第一晶格常数的半导体。应变渐变第一半导体层包括具有渐变掺杂剂分布特性的半导体,其中掺杂剂提供第二晶格常数。第一晶格常数小于第二晶格常数。术语“晶格常数”是指正常松弛单晶状态下的晶格结构。
在一个实现中,应变渐变第一半导体层包括具有渐变掺杂分布特性的应变SiGe合金。由外延沉积工艺形成大约4000-20000埃(
Figure G038A0952019960417D000111
)的应变渐变SiGe层。锗(Ge)的掺杂分布特性从晶圆处的大约0%增加到应变SiGe层表面处的25%。在一个实现中,在氯化氢(HCl)环境中进行外延沉积工艺。原生的应变渐变SiGe层具有大约为2埃均方根(RMS)的粗糙度,以及小于大约1个位错/cm2
在720处,在应变渐变第一半导体层上生长应变全尺寸第二半导体层。生长的应变全尺寸第二半导体层具有第二晶格常数。术语“全尺寸”是指该层的掺杂分布特性基本恒定。由于原生的半导体接近完全应变或完全应变,并且还没经历开始松弛过程的热循环,所以应变全尺寸第二半导体层的粗糙度低。在一个实现中,应变全尺寸第二半导体层包括具有恒定Ge掺杂分布特性的应变SiGe层。由外延沉积工艺来形成大约500-5000埃的应变全尺寸SiGe层。Ge的掺杂分布特性在整个第一应变全尺寸SiGe层中大约为25%。在一个实现中,外延沉积工艺在HCl环境下进行。
在730处,在应变全尺寸第二半导体层上生长牺牲第三半导体层。生长的牺牲第三半导体层具有第一晶格常数。在一个实现中,牺牲第三半导体层包括硅(Si)层。由外延沉积工艺形成大约100-300埃的牺牲Si层。还应当认识到,可以省略生长牺牲第三半导体层。
在740处,对全尺寸第二半导体层和牺牲第三半导体层进行蚀刻退火。通过使用例如外延生长室让晶圆在蚀刻环境下经受高温退火,所述表面被“蚀刻退火”。蚀刻退火蚀刻掉在730形成的牺牲第三半导体层,并且松弛在720形成的应变全尺寸第二半导体层。蚀刻退火还可以松弛在710形成的渐变应变第一半导体层。与无蚀刻环境下进行的简单退火相比,这种蚀刻退火产生更平滑的表面。
类似的工艺已被用于在被称作“外延平滑(epi-smoothing)”的工艺中平滑无应变膜。在2001年9月11日授权的题目为“Surface Finishing ofSOI Substrates Using an EPI Process”的美国专利No.6,287,941中公开了该外延平滑工艺,该专利通过引用被包含于此。由于所施加的用于松弛应变膜的蚀刻工艺控制总的粗糙度而非平滑表面,所以下文将其称作“蚀刻退火”。
在一个实现中,蚀刻退火工艺包括将牺牲Si层经受包括含卤素化合物的蚀刻剂,所述含卤素化合物例如是HCl、HF、HI、HBr、SF6、CF4、NF3、CCl2F2等。在700-1200℃的高温或更高温下执行蚀刻退火工艺。例如,应变全尺寸SiGe层和牺牲Si层的表面在蚀刻退火工艺中在700-1200℃的高温下被暴露于含HCl的气体,从而:
SiGe(固态)+4HCl(气态)→SiCl4(气态)+2H2(气态)+Ge该工艺基本是用于生长硅锗层的外延沉积工艺的反过程。差异在于如果氯化氢的浓度太高的话,所述表面被蚀刻而非硅锗被沉积。蚀刻退火工艺在应变松弛工艺的同时去除硅和硅锗,并且已被用来帮助降低不希望出现的位错和表面粗糙化。因此,蚀刻退火工艺用来控制表面粗糙化并减小位错密度,同时基本实现完全的膜松弛。
相应地,蚀刻剂去除牺牲Si层。此外,已经发现足以松弛应变全尺寸SiGe层或应变全尺寸SiGe与应变渐变SiGe层的温度和蚀刻剂的同时使用有助于减少或消除位错的产生,同时降低表面的松弛粗糙化。这种有益的效果被认为与在无蚀刻退火期间存在的应力诱导螺旋尖端的减少有关(H.Gao & W.D.Nix,“Surface Roughening of Heteroepitaxial Thin Films”,Annu.Rev.Mater.Sci.1999,29,pg.173-209)。在Gao和Nix的工作中,解释了由晶格失配引起的应变促使在具有周期性尖锐尖端的表面上产生波动外形,其中波动外形有助于在这些高应力的位置处产生位错。在晶格松弛期间同时进行的蚀刻工艺被认为显著钝化或圆化了尖端,这降低了应力集中,因而通过影响位错的产生动力学来减小表面位错密度。蚀刻环境也不利于表面粗糙化。
蚀刻退火工艺可以在高温范围内执行,这将有助于远离表面来集中位错,以松弛全尺寸SiGe层的晶格结构。热处理可以来自电阻加热器、RF加热器、高强灯等。热处理装置应当能够以大约10-20℃/秒或更高的速率加热半导体材料。
由于应变渐变SiGe层、应变全尺寸SiGe层和牺牲Si层是在外延生长反应器中进行的,并且蚀刻退火也是在相同系统中进行的,所以这些步骤的重复是直接进行的,并且可以充分利用该工艺的总的经济性,这是因为不需要任何清洁、外部退火或CMP平坦化步骤。
蚀刻退火工艺以下述方式去除应变半导体材料,即钝化在膜松弛时在表面上形成的尖锐(粗糙化)特征。蚀刻速率是时间、温度以及蚀刻剂的类型与浓度的函数。因此,在蚀刻退火工艺期间控制这些参数就控制了蚀刻量。蚀刻退火工艺一直进行到与无蚀刻剂的退火相比,该工艺将表面粗糙度减小了大约50%或更高为止。因此,蚀刻退火工艺用来在膜松弛期间控制表面粗糙化,使之足以用于随后的半导体器件制备工艺。该方法还具有将位错减小两个或更高数量级的附加优点。
此外,与局限于去除几十纳米或更少半导体材料的传统CMP工艺不同,蚀刻退火工艺可以用来去除多达几百纳米或更多的半导体材料。
还应当认识到,可以在310形成的应变渐变第一半导体层的生长期间中途执行蚀刻退火。此外,可以在诸如310、320和/或330的多个工艺期间执行不止一次的蚀刻退火。
在750,在松弛全尺寸第二半导体层上生长全尺寸第四半导体层。生长的全尺寸第四半导体层具有第二晶格常数并且具有松弛的结构。在一个实现中,全尺寸第四半导体层包括具有恒定Ge掺杂分布特性的松弛SiGe层。由外延沉积工艺形成大约1000-10000埃的全尺寸SiGe层。在整个全尺寸SiGe层中,Ge的掺杂分布特性大约为25%。在一个实现中,外延沉积工艺在HCl环境下进行。
在760处,在全尺寸第四半导体层上生长第五半导体层。生长的第五半导体层具有第一晶格常数。相应地,第五半导体层被形成作为应变半导体层。在一个实现中,第五半导体层包括硅(Si)层。由外延沉积工艺形成大约100-200埃的第二Si层。
第五半导体层可以用来在其上制备其它层或在其中制备器件区。所得应变第五半导体层减小的位错密度有利地使载流子迁移率更高。更高的载流子迁移率改进了器件的特性,所述器件例如是场效应晶体管、双极晶体管等。
现在参考图8A-8C,示出了根据本发明一个实施例的具有减小的表面粗糙度和减少的位错的应变半导体结构的横截面视图。
如图8A所示,中间半导体结构包括晶圆810。在晶圆810上形成应变渐变第一半导体层820。在应变渐变第一半导体层820上形成应变全尺寸第二半导体层830。在应变全尺寸第二半导体层830上形成牺牲第三半导体层840。
如图8B所示,示出了蚀刻退火工艺之后的应变半导体结构。渐变第一半导体层820和全尺寸第二半导体层已经被蚀刻退火工艺松弛。牺牲第三半导体层840已被去除。
如图8C所示,示出了形成器件层之后的应变半导体结构。在松弛的全尺寸第二半导体层830上形成并且松弛全尺寸第四半导体层850。在松弛的全尺寸第四半导体层850上形成第五半导体层860。第五半导体层860具有第一晶格常数,并且松弛的全尺寸第四半导体层850具有第二晶格常数。因此,第五半导体层860包括应变半导体层。
通过在没有高成本的外部平坦化步骤的条件下实现可用的应变硅器件层,蚀刻退火工艺得到了比传统技术成本更低的替代方式。此外,本领域技术人员应该知道,蚀刻退火不会影响产生位错的过程。然而,与在没有蚀刻环境的条件下进行退火所得到的大约107个位错/cm2相比,蚀刻退火工艺得到将位错密度减小到大约105个位错/cm2的意想不到的优点。蚀刻退火工艺在完全松弛膜方面也非常有效,进而使其适于作为基础来生长应变硅器件膜,
所得的应变第五半导体层860可以用于在其上制备半导体层或在其中制备器件区。半导体层的位错减少的特性有利地使载流子迁移率更高。第五半导体层860中的高载流子迁移率改进了形成在其中的器件的特性。
本发明的至少一个实施例提供了一种形成应变半导体层的方法。该方法包括在晶圆上生长具有第一晶格常数的应变半导体层。该方法还包括对应变半导体层进行蚀刻退火,其中应变半导体层被松弛。该方法控制半导体层的表面粗糙度。该方法还具有减少半导体层中的位错的意想不到的优点。
出于图示和说明的目的上文已经描述了本发明的具体实施例。它们不是要将本发明穷尽或者局限于公开的具体形式,很明显根据上述教导可以作出许多修改和变化。所选择并描述的实施例是为了最好地解释本发明的原理及其实际应用,从而使本领域技术人员能够利用适于所构思的具体用途的各种修改形式来最好地使用本发明和各种实施例。所附权利要求和其等同物限定本发明的范围。

Claims (14)

1.一种形成应变半导体层的方法,包括:
提供具有第一晶格常数的晶圆;
在所述晶圆上生长具有渐变掺杂剂分布特性的应变第一半导体层,其中所述掺杂剂使所述第一半导体层具有第二晶格常数;
在所述第一半导体层上生长具有所述第二晶格常数的应变全尺寸第二半导体层;
在所述第二半导体层上生长具有所述第一晶格常数的牺牲第三半导体层;
对所述第三和第二半导体层进行蚀刻退火,其中所述第三半导体层被去除,并且所述第二半导体层被松弛;
在所述第二半导体层上生长具有所述第二晶格常数的第四半导体层,其中所述第四半导体层是松弛的;以及
在所述第四半导体层上生长具有所述第一半导体晶格常数的应变第五半导体层。
2.一种用于控制应变半导体材料的表面粗糙度的方法,包括:
提供具有第一晶格常数的晶圆;
在所述晶圆上生长具有渐变掺杂剂分布特性的应变第一半导体层,其中所述掺杂剂使所述第一半导体层具有第二晶格常数;
在所述第一半导体层上生长具有所述第二晶格常数的应变全尺寸第二半导体层;
在所述第二半导体层上生长具有所述第一晶格常数的牺牲第三半导体层;以及
对所述第三和第二半导体层进行蚀刻退火,其中所述第三半导体层被去除,并且所述第二半导体层被松弛。
3.根据权利要求1或2所述的方法,其中所述第二半导体层的表面粗糙度被减小了大约50%或更多。
4.根据权利要求1或2所述的方法,其中所述第二半导体层的位错密度被减小了大约两个或更多数量级。
5.根据权利要求1或2所述的方法,其中对所述第二半导体层的所述表面进行蚀刻退火的步骤包括:将所述第二半导体层暴露到包括含卤素蚀刻剂的蚀刻环境中。
6.根据权利要求1或2所述的方法,还包括将所述第二半导体层暴露于氢(H)。
7.根据权利要求1所述的方法,其中生长所述应变第一半导体层的步骤包括外延沉积渐变硅锗。
8.根据权利要求1所述的方法,其中生长所述应变全尺寸第二半导体层的步骤包括外延沉积硅锗。
9.根据权利要求1所述的方法,其中生长所述牺牲第三半导体层的步骤包括外延沉积硅。
10.根据权利要求1所述的方法,其中生长所述全尺寸第四半导体层的步骤包括外延沉积硅锗。
11.根据权利要求1所述的方法,其中生长所述第五半导体层的步骤包括外延沉积硅。
12.根据权利要求2所述的方法,其中对所述第三半导体层的所述表面进行蚀刻退火的步骤还包括:将所述第三半导体层暴露于氢。
13.根据权利要求2所述的方法,其中对所述第三半导体层进行蚀刻退火的步骤还包括:将所述第三半导体层的温度增大到700至1200摄氏度之间。
14.一种用于减少半导体材料中的位错的方法,包括:
提供具有第一晶格常数的晶圆;
在所述晶圆上生长具有渐变掺杂剂分布特性的应变第一半导体层,其中所述掺杂剂使所述第一半导体层具有第二晶格常数;
在所述第一半导体层上生长具有所述第二晶格常数的应变全尺寸第二二半导体层;
在所述第二半导体层上生长具有所述第一晶格常数的牺牲第三半导体层;以及
对所述第三和第二半导体层进行蚀刻退火,其中所述第三半导体层被去除,并且所述第二半导体层被松弛。
CN200380100952.0A 2002-10-04 2003-10-03 处理半导体材料的方法 Expired - Fee Related CN1732557B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/264,393 2002-10-04
US10/264,393 US8187377B2 (en) 2002-10-04 2002-10-04 Non-contact etch annealing of strained layers
US48418103P 2003-06-30 2003-06-30
US60/484,181 2003-06-30
PCT/US2003/031404 WO2004034453A1 (en) 2002-10-04 2003-10-03 Method for treating semiconductor material

Publications (2)

Publication Number Publication Date
CN1732557A CN1732557A (zh) 2006-02-08
CN1732557B true CN1732557B (zh) 2010-09-15

Family

ID=32042210

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200380100952.0A Expired - Fee Related CN1732557B (zh) 2002-10-04 2003-10-03 处理半导体材料的方法

Country Status (2)

Country Link
US (2) US8187377B2 (zh)
CN (1) CN1732557B (zh)

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6946373B2 (en) 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
US7332417B2 (en) * 2003-01-27 2008-02-19 Amberwave Systems Corporation Semiconductor structures with structural homogeneity
US6858503B1 (en) * 2003-02-05 2005-02-22 Advanced Micro Devices, Inc. Depletion to avoid cross contamination
US7157379B2 (en) * 2003-09-23 2007-01-02 Intel Corporation Strained semiconductor structures
US7354815B2 (en) * 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US7462526B2 (en) * 2003-11-18 2008-12-09 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US7202145B2 (en) * 2004-06-03 2007-04-10 Taiwan Semiconductor Manufacturing Company Strained Si formed by anneal
US20060211210A1 (en) * 2004-08-27 2006-09-21 Rensselaer Polytechnic Institute Material for selective deposition and etching
DE102004053307B4 (de) * 2004-11-04 2010-01-07 Siltronic Ag Mehrschichtenstruktur umfassend ein Substrat und eine darauf heteroepitaktisch abgeschiedene Schicht aus Silicium und Germanium und ein Verfahren zu deren Herstellung
GB2420222A (en) * 2004-11-13 2006-05-17 Iqe Silicon Compounds Ltd Enhanced carrier mobility in strained semiconductor layers through smoothing surface treatment
JP2006270000A (ja) * 2005-03-25 2006-10-05 Sumco Corp 歪Si−SOI基板の製造方法および該方法により製造された歪Si−SOI基板
WO2009014957A2 (en) * 2007-07-20 2009-01-29 Bp Corporation North America Inc. Methods for manufacturing cast silicon from seed crystals
JP2011524639A (ja) * 2008-06-11 2011-09-01 インテバック・インコーポレイテッド 太陽電池装置及び太陽電池素子形成方法
US8981427B2 (en) * 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7927975B2 (en) * 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
EP2409331A4 (en) * 2009-03-20 2017-06-28 Intevac, Inc. Advanced high efficiency crystalline solar cell fabrication method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
SG183267A1 (en) * 2010-02-09 2012-09-27 Intevac Inc An adjustable shadow mask assembly for use in solar cell fabrications
EP2423951B1 (en) * 2010-08-05 2016-07-20 Imec Antiphase domain boundary-free III-V compound semiconductor material on semiconductor substrate and method for manufacturing thereof
CN102779753B (zh) * 2011-05-12 2015-05-06 中芯国际集成电路制造(上海)有限公司 半导体器件制造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2777069A4 (en) 2011-11-08 2015-01-14 Intevac Inc SUBSTRATE PROCESSING SYSTEM AND METHOD
KR101932230B1 (ko) * 2012-08-28 2018-12-26 에스케이하이닉스 주식회사 매립비트라인을 구비한 반도체 장치 및 그 제조방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103835000A (zh) * 2012-11-20 2014-06-04 上海华虹宏力半导体制造有限公司 一种高温改善多晶硅表面粗糙度的方法
MY178951A (en) 2012-12-19 2020-10-23 Intevac Inc Grid for plasma ion implant
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10573627B2 (en) 2015-01-09 2020-02-25 Silicon Genesis Corporation Three dimensional integrated circuit
US20180175008A1 (en) 2015-01-09 2018-06-21 Silicon Genesis Corporation Three dimensional integrated circuit
US10049915B2 (en) 2015-01-09 2018-08-14 Silicon Genesis Corporation Three dimensional integrated circuit
CN205752158U (zh) 2015-01-09 2016-11-30 硅源公司 集成电路装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102424963B1 (ko) 2015-07-30 2022-07-25 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN105977145B (zh) * 2016-06-22 2018-07-24 中国科学院上海微系统与信息技术研究所 一种应变量子点的制备方法及应变量子点
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) * 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11410984B1 (en) 2021-10-08 2022-08-09 Silicon Genesis Corporation Three dimensional integrated circuit with lateral connection layer

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3392069A (en) * 1963-07-17 1968-07-09 Siemens Ag Method for producing pure polished surfaces on semiconductor bodies
US6287941B1 (en) * 1999-04-21 2001-09-11 Silicon Genesis Corporation Surface finishing of SOI substrates using an EPI process

Family Cites Families (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2614055A (en) 1947-05-12 1952-10-14 Samica Corp Method of treating mica
US3117002A (en) 1960-02-16 1964-01-07 Ampco Metal Inc Aluminum bronze alloy having improved wear resistance by the addition of cobalt, chromium, and manganese
US3225820A (en) 1962-11-01 1965-12-28 Gen Precision Inc Device for controlling temperature by heat conduction
US3390033A (en) 1964-08-13 1968-06-25 Rca Corp Method of separating frit sealed parts of an electron tube
US5082793A (en) 1965-09-28 1992-01-21 Li Chou H Method for making solid state device utilizing ion implantation techniques
US3516855A (en) 1967-05-29 1970-06-23 Ibm Method of depositing conductive ions by utilizing electron beam
US3551213A (en) 1968-09-04 1970-12-29 Bell Telephone Labor Inc Geometrically selective ion bombardment by means of the photoelectric effect
US3786359A (en) 1969-03-28 1974-01-15 Alpha Ind Inc Ion accelerator and ion species selector
US3900636A (en) 1971-01-21 1975-08-19 Gillette Co Method of treating cutting edges
US3806380A (en) 1971-03-05 1974-04-23 Hitachi Ltd Method for hardening treatment of aluminum or aluminum-base alloy
GB1392811A (en) 1971-04-07 1975-04-30 Atomic Energy Authority Uk Methods for treating steel to modify the structure thereof
US3770499A (en) 1972-02-28 1973-11-06 Motorola Inc Liquid phase deposition of thin insulating and refractory film on a substrate
US3915757A (en) 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US3913520A (en) 1972-08-14 1975-10-21 Precision Thin Film Corp High vacuum deposition apparatus
US3993909A (en) 1973-03-16 1976-11-23 U.S. Philips Corporation Substrate holder for etching thin films
US3914655A (en) 1973-06-28 1975-10-21 Ibm High brightness ion source
FR2245779B1 (zh) 1973-09-28 1978-02-10 Cit Alcatel
US3946334A (en) 1973-11-14 1976-03-23 Nippon Electric Company, Limited Injection semiconductor laser device
US3901423A (en) 1973-11-26 1975-08-26 Purdue Research Foundation Method for fracturing crystalline materials
US3964957A (en) 1973-12-19 1976-06-22 Monsanto Company Apparatus for processing semiconductor wafers
FR2261802B1 (zh) 1974-02-21 1978-01-06 Devienne Fernand
NL7404364A (nl) 1974-04-01 1975-10-03 Philips Nv Werkwijze en inrichting voor het bewerken van vlakke voorwerpen.
US4170662A (en) 1974-11-05 1979-10-09 Eastman Kodak Company Plasma plating
US4121334A (en) 1974-12-17 1978-10-24 P. R. Mallory & Co. Inc. Application of field-assisted bonding to the mass production of silicon type pressure transducers
FR2298880A1 (fr) 1975-01-22 1976-08-20 Commissariat Energie Atomique Procede et dispositif d'implantation ionique
US3957107A (en) 1975-02-27 1976-05-18 The United States Of America As Represented By The Secretary Of The Air Force Thermal switch
US4039416A (en) 1975-04-21 1977-08-02 White Gerald W Gasless ion plating
US4116751A (en) 1975-10-08 1978-09-26 Solomon Zaromb Methods and apparatus for producing unsupported monocrystalline films of silicon and of other materials
GB1542299A (en) 1976-03-23 1979-03-14 Warner Lambert Co Blade shields
US4216906A (en) 1976-06-21 1980-08-12 Flow Research, Inc. Method of making high velocity liquid jet
US4074139A (en) 1976-12-27 1978-02-14 Rca Corporation Apparatus and method for maskless ion implantation
US4108751A (en) 1977-06-06 1978-08-22 King William J Ion beam implantation-sputtering
US4237601A (en) 1978-10-13 1980-12-09 Exxon Research & Engineering Co. Method of cleaving semiconductor diode laser wafers
JPS55104057A (en) 1979-02-02 1980-08-09 Hitachi Ltd Ion implantation device
US4255208A (en) 1979-05-25 1981-03-10 Ramot University Authority For Applied Research And Industrial Development Ltd. Method of producing monocrystalline semiconductor films utilizing an intermediate water dissolvable salt layer
CH640886A5 (de) 1979-08-02 1984-01-31 Balzers Hochvakuum Verfahren zum aufbringen harter verschleissfester ueberzuege auf unterlagen.
US4244348A (en) 1979-09-10 1981-01-13 Atlantic Richfield Company Process for cleaving crystalline materials
FR2475068B1 (fr) 1980-02-01 1986-05-16 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
FR2506344B2 (fr) 1980-02-01 1986-07-11 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
EP0506146A2 (en) 1980-04-10 1992-09-30 Massachusetts Institute Of Technology Method of producing sheets of crystalline material
US4342631A (en) 1980-06-16 1982-08-03 Illinois Tool Works Inc. Gasless ion plating process and apparatus
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
FR2501727A1 (fr) 1981-03-13 1982-09-17 Vide Traitement Procede de traitements thermochimiques de metaux par bombardement ionique
JPS5861763A (ja) 1981-10-09 1983-04-12 武笠 均 触感知器消化装置
US4361600A (en) 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4412868A (en) 1981-12-23 1983-11-01 General Electric Company Method of making integrated circuits utilizing ion implantation and selective epitaxial growth
FR2519437A1 (fr) 1982-01-04 1983-07-08 Commissariat Energie Atomique Dispositif de limitation et de controle de la temperature d'une cible reposant sur un support dans une enceinte sous vide et recevant un faisceau d'energie et son application a des mesures thermiques
US4486247A (en) 1982-06-21 1984-12-04 Westinghouse Electric Corp. Wear resistant steel articles with carbon, oxygen and nitrogen implanted in the surface thereof
FR2529383A1 (fr) 1982-06-24 1983-12-30 Commissariat Energie Atomique Porte-cible a balayage mecanique utilisable notamment pour l'implantation d'ioris
US4554570A (en) 1982-06-24 1985-11-19 Rca Corporation Vertically integrated IGFET device
FR2537768A1 (fr) 1982-12-08 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'obtention de faisceaux de particules de densite spatialement modulee, application a la gravure et a l'implantation ioniques
FR2537777A1 (fr) 1982-12-10 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'implantation de particules dans un solide
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
DE3246480A1 (de) 1982-12-15 1984-06-20 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen Verfahren zur herstellung von halbleiterscheiben mit getternder scheibenrueckseite
US4468309A (en) 1983-04-22 1984-08-28 White Engineering Corporation Method for resisting galling
GB2144343A (en) 1983-08-02 1985-03-06 Standard Telephones Cables Ltd Optical fibre manufacture
US4567505A (en) 1983-10-27 1986-01-28 The Board Of Trustees Of The Leland Stanford Junior University Heat sink and method of attaching heat sink to a semiconductor integrated circuit and the like
FR2560426A1 (fr) 1984-02-28 1985-08-30 Commissariat Energie Atomique Dispositif de production d'ions d'une espece determinee, utilisant pour les separer d'autres ions, une selection en energie, application a l'implantation ionique
GB2155024A (en) 1984-03-03 1985-09-18 Standard Telephones Cables Ltd Surface treatment of plastics materials
FR2563377B1 (fr) 1984-04-19 1987-01-23 Commissariat Energie Atomique Procede de fabrication d'une couche isolante enterree dans un substrat semi-conducteur, par implantation ionique
FR2575601B1 (fr) 1984-12-27 1987-01-30 Commissariat Energie Atomique Procede et dispositif de determination de parametres electriques d'une couche semi-conductrice en fonction de la profondeur
US4566403A (en) 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
NL8501773A (nl) 1985-06-20 1987-01-16 Philips Nv Werkwijze voor het vervaardigen van halfgeleiderinrichtingen.
US4837172A (en) 1986-07-18 1989-06-06 Matsushita Electric Industrial Co., Ltd. Method for removing impurities existing in semiconductor substrate
US4717683A (en) 1986-09-23 1988-01-05 Motorola Inc. CMOS process
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4847792A (en) 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4906594A (en) 1987-06-12 1990-03-06 Agency Of Industrial Science And Technology Surface smoothing method and method of forming SOI substrate using the surface smoothing method
US4846928A (en) 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5015353A (en) 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
GB8725497D0 (en) 1987-10-30 1987-12-02 Atomic Energy Authority Uk Isolation of silicon
DE3803424C2 (de) 1988-02-05 1995-05-18 Gsf Forschungszentrum Umwelt Verfahren zur quantitativen, tiefendifferentiellen Analyse fester Proben
JP2666945B2 (ja) 1988-02-08 1997-10-22 株式会社東芝 半導体装置の製造方法
US4894709A (en) 1988-03-09 1990-01-16 Massachusetts Institute Of Technology Forced-convection, liquid-cooled, microchannel heat sinks
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4853250A (en) 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
JP2670623B2 (ja) 1988-09-19 1997-10-29 アネルバ株式会社 マイクロ波プラズマ処理装置
US4952273A (en) 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4891329A (en) 1988-11-29 1990-01-02 University Of North Carolina Method of forming a nonsilicon semiconductor on insulator structure
US5202095A (en) 1988-12-27 1993-04-13 Matsushita Electric Industrial Co., Ltd. Microwave plasma processor
DE19538634C2 (de) 1995-10-17 1997-09-04 Itt Ind Gmbh Deutsche Verfahren zum Vereinzeln von elektronischen Elementen aus einem Halbleiterwafer
GB8905075D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5203960A (en) 1989-03-09 1993-04-20 Applied Microwave Plasma Concepts, Inc. Method of operation of electron cyclotron resonance plasma source
US5133826A (en) 1989-03-09 1992-07-28 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source
US5370765A (en) 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US5196355A (en) 1989-04-24 1993-03-23 Ibis Technology Corporation Simox materials through energy variation
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5387555A (en) 1992-09-03 1995-02-07 Harris Corporation Bonded wafer processing with metal silicidation
US5034343A (en) 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
US5070040A (en) 1990-03-09 1991-12-03 University Of Colorado Foundation, Inc. Method and apparatus for semiconductor circuit chip cooling
DE4114108C1 (zh) 1991-04-30 1991-12-19 Schott Glaswerke, 6500 Mainz, De
US5198371A (en) 1990-09-24 1993-03-30 Biota Corp. Method of making silicon material with enhanced surface mobility by hydrogen ion implantation
US5102821A (en) 1990-12-20 1992-04-07 Texas Instruments Incorporated SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium
US5206749A (en) 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5258320A (en) 1990-12-31 1993-11-02 Kopin Corporation Single crystal silicon arrayed devices for display panels
US5256562A (en) 1990-12-31 1993-10-26 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5258325A (en) 1990-12-31 1993-11-02 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5317436A (en) 1990-12-31 1994-05-31 Kopin Corporation A slide assembly for projector with active matrix moveably mounted to housing
US5528397A (en) 1991-12-03 1996-06-18 Kopin Corporation Single crystal silicon transistors for display panels
US5444557A (en) 1990-12-31 1995-08-22 Kopin Corporation Single crystal silicon arrayed devices for projection displays
US5362671A (en) 1990-12-31 1994-11-08 Kopin Corporation Method of fabricating single crystal silicon arrayed devices for display panels
US5475514A (en) 1990-12-31 1995-12-12 Kopin Corporation Transferred single crystal arrayed devices including a light shield for projection displays
DE4100526A1 (de) 1991-01-10 1992-07-16 Wacker Chemitronic Vorrichtung und verfahren zum automatischen vereinzeln von gestapelten scheiben
IL100910A (en) 1991-02-12 1994-10-07 Hughes Aircraft Co Assessment of the degree of wear of objects
JPH04266047A (ja) 1991-02-20 1992-09-22 Fujitsu Ltd 埋め込み層形成に相当するsoi型半導体装置の製造方法及び半導体装置
US5110748A (en) 1991-03-28 1992-05-05 Honeywell Inc. Method for fabricating high mobility thin film transistors as integrated drivers for active matrix display
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Heteroepitaxial layers with low defect density and arbitrary network parameter
JPH04359518A (ja) 1991-06-06 1992-12-11 Nec Corp 半導体装置の製造方法
JPH04365377A (ja) 1991-06-13 1992-12-17 Agency Of Ind Science & Technol 半導体装置
JPH05308107A (ja) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
US5342472A (en) 1991-08-12 1994-08-30 Tokyo Electron Limited Plasma processing apparatus
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
JP2726583B2 (ja) 1991-11-18 1998-03-11 三菱マテリアルシリコン株式会社 半導体基板
US5827751A (en) 1991-12-06 1998-10-27 Picogiga Societe Anonyme Method of making semiconductor components, in particular on GaAs of InP, with the substrate being recovered chemically
EP1251556B1 (en) 1992-01-30 2010-03-24 Canon Kabushiki Kaisha Process for producing semiconductor substrate
JP2901031B2 (ja) 1992-01-30 1999-06-02 キヤノン株式会社 半導体基材及びその作製方法
JP2910001B2 (ja) 1992-01-30 1999-06-23 キヤノン株式会社 半導体基材及びその作製方法
JP3261685B2 (ja) 1992-01-31 2002-03-04 キヤノン株式会社 半導体素子基体及びその作製方法
US5269880A (en) 1992-04-03 1993-12-14 Northern Telecom Limited Tapering sidewalls of via holes
US5372952A (en) 1992-04-03 1994-12-13 National Semiconductor Corporation Method for forming isolated semiconductor structures
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5363603A (en) 1992-06-22 1994-11-15 Alliant Techsystems, Inc. Abrasive fluid jet cutting compositon and method
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5404079A (en) 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
US5304509A (en) 1992-08-24 1994-04-19 Midwest Research Institute Back-side hydrogenation technique for defect passivation in silicon solar cells
JP3192000B2 (ja) 1992-08-25 2001-07-23 キヤノン株式会社 半導体基板及びその作製方法
JP3266163B2 (ja) 1992-10-14 2002-03-18 東京応化工業株式会社 プラズマ処理装置
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5234535A (en) 1992-12-10 1993-08-10 International Business Machines Corporation Method of producing a thin silicon-on-insulator layer
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5413679A (en) 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5344524A (en) 1993-06-30 1994-09-06 Honeywell Inc. SOI substrate fabrication
US5443431A (en) 1993-10-13 1995-08-22 Zexel-Gleason Usa, Inc. Differential with friction-enhancing wedge
US5459016A (en) 1993-12-16 1995-10-17 Minnesota Mining And Manufacturing Company Nanostructured thermal transfer donor element
FR2714524B1 (fr) 1993-12-23 1996-01-26 Commissariat Energie Atomique Procede de realisation d'une structure en relief sur un support en materiau semiconducteur
US5476691A (en) 1994-01-21 1995-12-19 International Business Machines, Inc. Surface treatment of magnetic recording heads
FR2715502B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Structure présentant des cavités et procédé de réalisation d'une telle structure.
FR2715501B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Procédé de dépôt de lames semiconductrices sur un support.
FR2715503B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Substrat pour composants intégrés comportant une couche mince et son procédé de réalisation.
US5558718A (en) 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5480842A (en) 1994-04-11 1996-01-02 At&T Corp. Method for fabricating thin, strong, and flexible die for smart cards
FR2720189B1 (fr) 1994-05-18 1996-08-30 Commissariat Energie Atomique Procédé de réalisation d'une structure à faible taux de dislocations comprenant une couche d'oxyde enterrée dans un substrat semi-conducteur.
US5411592A (en) 1994-06-06 1995-05-02 Ovonic Battery Company, Inc. Apparatus for deposition of thin-film, solid state batteries
FR2725074B1 (fr) 1994-09-22 1996-12-20 Commissariat Energie Atomique Procede de fabrication d'une structure comportant une couche mince semi-conductrice sur un substrat
JP3265493B2 (ja) 1994-11-24 2002-03-11 ソニー株式会社 Soi基板の製造方法
US5504328A (en) 1994-12-09 1996-04-02 Sematech, Inc. Endpoint detection utilizing ultraviolet mass spectrometry
US5611855A (en) 1995-01-31 1997-03-18 Seh America, Inc. Method for manufacturing a calibration wafer having a microdefect-free layer of a precisely predetermined depth
US5603779A (en) 1995-05-17 1997-02-18 Harris Corporation Bonded wafer and method of fabrication thereof
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5863831A (en) 1995-08-14 1999-01-26 Advanced Materials Engineering Research, Inc. Process for fabricating semiconductor device with shallow p-type regions using dopant compounds containing elements of high solid solubility
FR2738671B1 (fr) 1995-09-13 1997-10-10 Commissariat Energie Atomique Procede de fabrication de films minces a materiau semiconducteur
CN1132223C (zh) 1995-10-06 2003-12-24 佳能株式会社 半导体衬底及其制造方法
KR0165467B1 (ko) 1995-10-31 1999-02-01 김광호 웨이퍼 디본더 및 이를 이용한 웨이퍼 디본딩법
US6574416B1 (en) 1995-11-02 2003-06-03 Videa, Llc Picture-based video indexing system
FR2744285B1 (fr) 1996-01-25 1998-03-06 Commissariat Energie Atomique Procede de transfert d'une couche mince d'un substrat initial sur un substrat final
FR2748851B1 (fr) 1996-05-15 1998-08-07 Commissariat Energie Atomique Procede de realisation d'une couche mince de materiau semiconducteur
US6039803A (en) 1996-06-28 2000-03-21 Massachusetts Institute Of Technology Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon
US5793913A (en) * 1996-07-10 1998-08-11 Northern Telecom Limited Method for the hybrid integration of discrete elements on a semiconductor substrate
US5710057A (en) 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
US6020052A (en) 1996-07-30 2000-02-01 Ysi Incorporated Laminated membrane structure for polarographic measurement and methods of making said structures
FR2752332B1 (fr) 1996-08-12 1998-09-11 Commissariat Energie Atomique Dispositif de decollement de plaquettes et procede de mise en oeuvre de ce dispositif
SG65697A1 (en) 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
KR100232886B1 (ko) 1996-11-23 1999-12-01 김영환 Soi 웨이퍼 제조방법
US6162705A (en) 1997-05-12 2000-12-19 Silicon Genesis Corporation Controlled cleavage process and resulting device using beta annealing
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
EP1016129B2 (en) 1997-06-24 2009-06-10 Massachusetts Institute Of Technology Controlling threading dislocation densities using graded layers and planarization
US5882987A (en) 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
JPH11195775A (ja) 1997-12-26 1999-07-21 Sony Corp 半導体基板および薄膜半導体素子およびそれらの製造方法ならびに陽極化成装置
US6120597A (en) 1998-02-17 2000-09-19 The Trustees Of Columbia University In The City Of New York Crystal ion-slicing of single-crystal films
US6083324A (en) 1998-02-19 2000-07-04 Silicon Genesis Corporation Gettering technique for silicon-on-insulator wafers
US5909627A (en) 1998-05-18 1999-06-01 Philips Electronics North America Corporation Process for production of thin layers of semiconductor material
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6455397B1 (en) 1999-11-16 2002-09-24 Rona E. Belford Method of producing strained microelectronic and/or optical integrated and discrete devices
US6503773B2 (en) 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
FR2804247B1 (fr) * 2000-01-21 2002-04-12 St Microelectronics Sa Procede de realisation d'un transistor bipolaire a emetteur et base extrinseque auto-alignes
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6743495B2 (en) 2001-03-30 2004-06-01 Memc Electronic Materials, Inc. Thermal annealing process for producing silicon wafers with improved surface characteristics
US6514836B2 (en) 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US7226504B2 (en) * 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
GB2409340B (en) * 2002-10-04 2006-05-10 Silicon Genesis Corp Method for treating semiconductor material
US7354815B2 (en) 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3392069A (en) * 1963-07-17 1968-07-09 Siemens Ag Method for producing pure polished surfaces on semiconductor bodies
US6287941B1 (en) * 1999-04-21 2001-09-11 Silicon Genesis Corporation Surface finishing of SOI substrates using an EPI process

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP昭61-125012A 1986.06.12

Also Published As

Publication number Publication date
CN1732557A (zh) 2006-02-08
US20040067644A1 (en) 2004-04-08
US20070051299A1 (en) 2007-03-08
US8187377B2 (en) 2012-05-29

Similar Documents

Publication Publication Date Title
CN1732557B (zh) 处理半导体材料的方法
US6635110B1 (en) Cyclic thermal anneal for dislocation reduction
JP4446424B2 (ja) 緩和SiGe基板の製造方法
JP5604629B2 (ja) 半導体バッファ構造体内の歪み層
TWI398909B (zh) 具有異質磊晶層(heteroepitaxial layer)之半導體晶圓及製造該晶圓之方法
CN103765559A (zh) SiC外延晶片及其制造方法
KR100781617B1 (ko) 산화, 박막화 및 에피덱셜 재성장의 조합을 이용한SiGe 격자 엔지니어링
US7147709B1 (en) Non-contact etch annealing of strained layers
US20080268613A1 (en) Semiconductor Substrate And Method For Production Thereof
JP5254195B2 (ja) 基板上に単結晶半導体層を作製する方法
US20130112995A1 (en) Semiconductor wafer and method for manufacturing the same
EP1437765B1 (en) Production method for semiconductor substrate and production method for field effect transistor
CN102383192A (zh) 锗衬底的生长方法以及锗衬底
US20060022200A1 (en) Method for producing semiconductor substrate and method for fabricating field effect transistor and semiconductor substrate and field effect transistor
Luo et al. Effective compliant substrate for low-dislocation relaxed SiGe growth
US20040235274A1 (en) Manufacturing method for a silicon substrate having strained layer
JP2003218031A (ja) 半導体ウェーハの製造方法
US7767548B2 (en) Method for manufacturing semiconductor wafer including a strained silicon layer
Yousif et al. Direct assessment of relaxation and defect propagation in different as-grown and in situ post-growth annealed thin Ge/Si and step-graded Si1− xGex/Si buffer layers
Sedgwick et al. Low temperature atmospheric pressure chemical vapor deposition for epitaxial growth of SiGe bipolar transistors
Nakao et al. Challenge to 200 mm 3C-SiC wafers using SOI
Civale et al. On the mechanisms governing aluminum-mediated solid-phase epitaxy of silicon
US20180308697A1 (en) Semiconductor substrate and manufacturing method of the same
US8115195B2 (en) Semiconductor wafer with a heteroepitaxial layer and a method for producing the wafer
Kawarada et al. Characterization of roughness and defects at an Si/SiO2 interface formed by lateral solid phase epitaxy using high‐resolution electron microscopy

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100915

Termination date: 20111003