CN115440802A - 半导体结构 - Google Patents

半导体结构 Download PDF

Info

Publication number
CN115440802A
CN115440802A CN202210792616.1A CN202210792616A CN115440802A CN 115440802 A CN115440802 A CN 115440802A CN 202210792616 A CN202210792616 A CN 202210792616A CN 115440802 A CN115440802 A CN 115440802A
Authority
CN
China
Prior art keywords
oxide layer
adjacent
layer
semiconductor structure
dummy gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210792616.1A
Other languages
English (en)
Inventor
黄渊圣
陈嘉仁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN115440802A publication Critical patent/CN115440802A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)

Abstract

一种半导体结构,包括使用两个单独的氧化层以提高装置的可靠度。相邻鳍片形成第一氧化层,相邻第一氧化层形成虚设栅极,去除虚设栅极,之后相邻第一氧化层形成第二氧化层。在去除虚设栅极时,使用第二氧化层可以通过覆盖可能对第一氧化层造成的任何损坏以提高装置可靠度。

Description

半导体结构
技术领域
本发明实施例涉及一种半导体装置及其制造方法,尤其涉及一种具有复合氧化层的半导体装置及其制造方法。
背景技术
本公开一般来说关于半导体装置及其制造方法,且特别关于在晶体管装置的栅极端子附近形成绝缘层。这些绝缘层在制造工艺中可能会被损坏,从而导致装置可靠度的问题。半导体装置用于各种电子产品,一般来说期望可以改善半导体装置的生产及性能。
发明内容
本发明一些实施例提供一种半导体结构,包括:鳍片;隔离结构,相邻鳍片;介电层,相邻隔离结构;第一氧化层,相邻鳍片、隔离结构以及介电层;以及第二氧化层,相邻第一氧化层,第一氧化层以及第二氧化层定义复合(composite)氧化层,其中复合氧化层的水平部分比复合氧化层的垂直部分厚。
本发明另一些实施例提供一种制造半导体结构的方法,包括:相邻鳍片形成第一氧化层;相邻第一氧化层形成虚设栅极;去除虚设栅极;相邻第一氧化层形成第二氧化层;以及相邻第二氧化层形成栅极。
本发明又一些实施例提供一种制造半导体结构的方法,包括:相邻第一鳍片以及相邻第二鳍片形成第一氧化层,第一鳍片包括输入/输出鳍片并且第二鳍片包括核心鳍片;相邻第一氧化层形成虚设栅极;去除虚设栅极;相邻第一氧化层形成第二氧化层;去除第二氧化层的部分以及第一氧化层的部分;以及相邻第二氧化层以及相邻第二鳍片形成栅极。
附图说明
以下将配合所附图示详述本公开的各面向。应注意的是,依据在业界的标准做法,各种特征并未按照比例绘制且仅用以说明例示。事实上,可能任意地放大或缩小单元的尺寸,以清楚地表现出本公开的特征。
根据本公开的一些实施例,图1示出示例的半导体结构的剖面部分。
根据本公开的一些实施例,图2A示出制造图1的半导体结构的示例的工艺流程图。
根据本公开的一些实施例,图2B-图2H示出在图2A的工艺的各个蚀刻步骤中,图1的半导体结构的剖面部分。
附图标记如下:
100:半导体结构
112:核心鳍片
114:输入/输出鳍片
120:隔离结构
130:介电层
141:氧化层
142:氧化层
150:间隔物层
160:虚设栅极
162:高介电常数层
164:金属栅极
166:接触蚀刻停止层
170:光刻胶
172:端子
200:工艺
201:步骤
202:步骤
203:步骤
204:步骤
205:步骤
206:步骤
207:步骤
具体实施方式
以下内容提供了许多不同实施例或范例,以实现本公开实施例的不同部件。以下描述组件和配置方式的具体范例,以简化本公开实施例。当然,这些仅仅是范例,而非意图限制本公开实施例。举例而言,元件的尺寸不限于所公开的范围或数值,而是可以取决于工艺条件及/或装置的期望特性。此外,在以下描述中提及于第二部件上方或其上形成第一部件,其可以包含第一部件和第二部件以直接接触的方式形成的实施例,并且也可以包含在第一部件和第二部件之间形成额外的部件,使得第一部件和第二部件可以不直接接触的实施例。此外,本发明实施例可能在许多范例中重复元件符号及/或字母。这些重复是为了简化和清楚的目的,其本身并非代表所讨论各种实施例及/或配置之间有特定的关系。
此处可能用到与空间相对用词,例如“在……之下”、“下方”、“较低的”、“上方”、“较高的”等类似用词,是为了便于描述附图中一个(些)部件或特征与另一个(些)部件或特征之间的关系。空间相对用词用以包括使用中或操作中的装置的不同方位,以及附图中所描述的方位。当装置被转向不同方位时(旋转90度或其他方位),其中所使用的空间相对形容词也将依转向后的方位来解释。
本公开提供一种半导体结构及用于制造半导体结构的方法,包括使用两个单独的氧化层以提高装置可靠度。相邻鳍片(例如,鳍式场效晶体管(fin field-effecttransistor,FinFET)装置的鳍片)形成第一氧化层,相邻第一氧化层形成虚设栅极,去除虚设栅极,之后相邻第一氧化层形成第二氧化层。在去除虚设栅极时,使用第二氧化层可以通过覆盖可能对第一氧化层造成的任何损坏以提高装置可靠度。
参考图1,根据本公开的一些实施例,示出示例的半导体结构100的剖面部分。半导体结构100通常为鳍式场效晶体管(FinFET)。然而,本公开描述的方法也可以在其他晶体管结构中实施,例如全绕式栅极场效晶体管(gate-all-around field-effect transistor,GAAFET)结构和其他相似类型的晶体管结构。半导体结构100通常实施于集成电路(integrated circuit,IC)之中。半导体结构100通常在集成电路制造工艺的前端(front-end-of-line,FEOL)工艺期间形成。半导体结构100包括用于提高装置可靠度的复合氧化层,如以下更详细讨论。
在制造工艺中,各种去除工艺(例如湿式蚀刻、干式蚀刻、化学机械平坦化)可能对半导体结构100中的下方膜层和材料造成不期望的损坏。例如,当形成半导体结构100时,可以使用多晶硅材料形成虚设栅极结构(例如,以下讨论的虚设栅极160)并随后将其去除。当移除虚设栅极时,由于移除虚设栅极所需相对强度较高的工艺,可能会发生对下方的绝缘层(例如氧化层)造成不期望的损坏。在一些情况下,这种不期望的损坏可能会对装置可靠度产生问题。这些问题可能包括漏电流、弱电连接以及单一装置故障。因此,装置良率和性能可能会受到负面影响。
半导体结构100示为包括核心鳍片112。核心鳍片112是半导体结构100的有源鳍片,其由半导体材料形成并且为电流流过半导体结构100提供通路。可以使用材料,例如硅(Si)、硅锗(SiGe)、其他合适的导电材料及其组合以形成核心鳍片112。核心鳍片112作为FinFET装置的鳍片并且通常在相对低的电压操作,例如1.2V或更低。核心鳍片112在隔离结构120上方延伸,如以下更详细讨论。应当注意的是,第一氧化层141和第二氧化层142(以下讨论)并未形成为相邻核心鳍片112。相反地,半导体结构100的栅极形成为相邻核心鳍片112,如以下更详细讨论。
半导体结构100还示为包括输入/输出鳍片114。输入/输出鳍片114为半导体结构100的有源鳍片,其由半导体材料形成并且提供电流流过半导体结构100的路径。可以使用材料,例如硅(Si)、硅锗(SiGe)、其他合适的导电材料及其组合以形成输入/输出鳍片114。输入/输出鳍片114作为FinFET装置的鳍片并且通常在相对高的电压操作,例如大于或等于1.2V。因此,核心鳍片112在第一电压操作,输入/输出鳍片114在第二电压操作,并且第二电压大于第一电压。输入/输出鳍片114也在隔离结构120上方延伸。应当注意的是,第一氧化层141和第二氧化层142(以下讨论)形成为相邻输入/输出鳍片114,与核心鳍片112不同。
半导体结构100还示为包括隔离结构120。隔离结构120通常防止半导体结构100的组件之间的漏电流。例如,隔离结构120可以为浅沟槽隔离(shallow trench isolation,STI)结构。可以通过在半导体结构100的基板(例如块体硅基板或另一种类型的基板)内创建沟槽,以绝缘材料(例如,介电材料,例如二氧化硅)填充沟槽,并使用工艺,例如化学机械研磨(chemical-mechanical polishing,CMP)去除多余的绝缘材料以形成隔离结构120。除了半导体结构100的基板之外,隔离结构120通常可以提供基底(base)以用于在其上形成半导体结构100的其他部件。
半导体结构100还示为包括介电层130。介电层130通常提供形成在半导体结构100中的栅极(图1中未示出)的电性隔离。例如,介电层130可以为层间介电(inter-layerdielectric,ILD)结构。介电层130可以使用具有高介电常数的介电材料(高k材料)、具有低介电常数的介电材料(低k材料)或其组合以形成,包括材料,例如二氧化硅(SiO2)、氮氧化硅(SiON)、氮化硅(Si3N4)、碳氮化硅(SiCN)、氧碳氮化硅(SiOCN)、氧化铪(HfO2)、氮化钽(TaN)、其他合适的材料及其组合。介电层130可以使用多种合适的沉积工艺形成,包括物理气相沉积、化学气相沉积、电化学沉积、原子层沉积、其他合适的工艺及其组合。介电层130可以具有形成于其上的接触蚀刻停止层(contact etch stop layer,CESL)166,CESL 166形成在介电层130的底表面和侧表面上。例如,CESL 166可以由氧化物或氮化物形成,并且可以使用多种合适的沉积工艺形成,包括物理气相沉积、化学气相沉积、电化学沉积、原子层沉积、其他合适的工艺及其组合。
半导体结构100还示为包括第一氧化层141。第一氧化层141可以使用合适材料,例如二氧化硅形成。如图1所示,第一氧化层141形成为相邻输入/输出鳍片114、隔离结构120以及包括介电层130和间隔物层150的介电层。第一氧化层在最终的装置结构中以及在制造工艺中保护输入/输出鳍片114,并将输入/输出鳍片114与半导体结构100中的其他鳍片隔离。如以下更详细讨论,应当注意的是,在形成虚设栅极160之前形成第一氧化层141。通过使用以下讨论的第二氧化层142,第一氧化层141可以形成为更薄,从而可以扩大半导体结构100中鳍片之间的间距。此外,使用第二氧化层142可以防止第一氧化层141在制造工艺中受到损坏。第一氧化层141可以使用多种合适的沉积工艺形成,包括物理气相沉积、化学气相沉积、电化学沉积、原子层沉积、其他合适的工艺及其组合。如果第一氧化层通过沉积工艺形成,则第一氧化层141可以形成在隔离结构120的顶表面上。然而,如果第一氧化层141是通过热氧化形成,则第一氧化层141可以不形成在隔离结构120的顶表面上。
半导体结构100还示为包括第二氧化层142。第二氧化层142可以使用合适的材料,例如二氧化硅形成。相似于第一氧化层141,第二氧化层142也在最终的装置结构中以及在制造工艺中保护输入/输出鳍片114,并将输入/输出鳍片114与半导体结构100中的其他鳍片隔离。如以下更详细讨论,应当注意的是,在形成虚设栅极160并去除虚设栅极160之后形成第二氧化层142。因此,第二氧化层142可以覆盖在形成和去除虚设栅极160的工艺中可能对第一氧化层141造成的任何损坏。如本公开所讨论,第一氧化层141和第二氧化层142一同在最终的装置结构中形成可以提高装置可靠度的复合氧化层。第二氧化层142可以使用多种合适的沉积工艺形成,包括物理气相沉积、化学气相沉积、电化学沉积、原子层沉积、其他合适的工艺及其组合。
半导体结构100还示为包括间隔物层150。间隔物层150通常提供形成在半导体结构100中的栅极的进一步电性隔离。间隔物层150可以使用具有高介电常数的介电材料(高k材料),例如二氧化硅、氮化硅、其他合适的材料及其组合形成。间隔物层150可以为单层或多层。例如,间隔物层150可以实施为包括氮化硅和二氧化硅的多层。间隔物层150可以帮助防止漏电流以改善数据保留(retention)和装置性能。介电层130和间隔物层150共同形成包括多个部分的单一介电层。可以使用多种合适的沉积工艺形成间隔物层150,包括物理气相沉积、化学气相沉积、电化学沉积、原子层沉积、其他合适的工艺及其组合。复合氧化物的一部分,第一氧化层141和第二氧化层142一同,在输入/输出鳍片114的侧壁上可以比其在间隔物层150上厚。
应当理解,半导体结构100可以包括超出图1中明确说明的额外的及/或不同的层和材料。例如,可以在半导体结构中形成超出图1中明确说明的额外的绝缘层和其他类型的层和材料。此外,应当理解,图1中提供的附图为半导体结构100在制造工艺中的示例性剖面,并且图1中提供的示例性剖面并非最终的装置结构。例如,最终的装置结构将进一步包括栅极端子,以及用于各别半导体装置(例如,各别晶体管)的源极和漏极端子。
栅极可以为高介电常数金属栅极(high-k metal gate,HKMG)结构,例如,其中形成包括导电金属材料和具有高介电常数(high-k)的介电材料的堆叠。堆叠还可以包括功函数层、盖层及/或其他层以形成用于预期应用的合适的HKMG结构。HKMG结构可以使用多种合适的工艺形成,包括栅极先制(gate-first)和栅极后制(gate-last)的实施方式。在一些应用中,相较于使用多晶硅栅极,使用HKMG结构可以减少漏电流,从而提高性能。然而,应当理解,本公开描述的技术也可以应用于具有多晶硅栅极结构的半导体装置,以及其他类型的栅极结构。例如,图1示出在第二介电层142上形成高介电常数(HK)层162,例如HfO2,然后在HK层162上形成金属栅极164。在沉积HK层162和金属栅极164之后,可以进行研磨工艺,例如CMP,使得第二介电层142、HK层162和金属栅极164的顶表面共平面。
源极和漏极端子172可以使用外延材料和外延成长工艺形成,例如气相外延(vapor-phase epitaxy,VPE)、分子束外延(molecular-beam epitaxy,MBE)、液相外延(liquid-phase epitaxy,LPE)、其他合适的工艺及其组合。之后可以使用合适的掺质在不同区域中掺杂外延材料,包括n型和p型掺质,例如胂(arsine)、膦(phosphine)、乙硼烷、其他合适的掺质及其组合。集成电路制造工艺还包括在栅极、源极和漏极端子上形成导电接触件,以及互连结构的形成(例如,在中端(mid-end-of-line,MEOL)工艺和后端(back-end-of-line,BEOL)工艺期间)以及封装。
根据本公开的一些实施例,图2A示出制造所示的半导体结构100的示例的工艺200的流程图。图2B-图2H示出在工艺200的各个蚀刻步骤中,半导体结构100的示例的剖面部分。工艺200一般来说包括相邻输入/输出鳍片114形成复合氧化层,其中第一氧化层141在形成和去除虚设栅极160之前形成,第二氧化层142在形成和去除虚设栅极160之后形成。使用工艺200形成的复合氧化层可以通过覆盖在形成和去除虚设栅极160的工艺期间可能对第一氧化层141造成的任何损害,以提供改善的装置可靠度。
在步骤201,去除虚设栅极的第一部分(图2B)。如图2B所示,去除虚设栅极160的第一部分。在执行步骤201之前,可以使用多种合适的沉积工艺形成虚设栅极160,包括物理气相沉积、化学气相沉积、电化学沉积、原子层沉积、其他合适的工艺及其组合。可以使用多晶硅、其他合适的材料及其组合形成虚设栅极160。如图2B所示,虚设栅极160相邻第一氧化层141和间隔物层150形成。使用虚设栅极160可以促进改善制造工艺以及最终的有源栅极结构的形成,例如上述的HKMG结构。例如,使用虚设栅极160可以促进改善图案化间隔物层150以及其他相似膜层。随着半导体装置的尺寸继续减小,通过使用虚设栅极160促进的这些改善可为显著。例如,在步骤201之前,虚设栅极160的上表面可以与介电层130的上表面齐平。然而,在步骤201中,例如,根据间隔物层150的所需图案化移除虚设栅极160的第一部分。虚设栅极160的第一部分可以在步骤201中使用各种合适的工艺去除,包括湿式蚀刻以及干式蚀刻工艺。
在步骤201之后,可以在工艺200继续到步骤202之前执行预清洁工艺。例如,可以使用溶液,例如稀释氢氟酸(diluted hydrofluoric acid,DHF)清洁虚设栅极160的顶表面以准备虚设栅极160,并在以下讨论的步骤203中进一步去除。此外,在以下讨论的步骤202中的去除之前,可以使用溶液,例如DHF对间隔物层150进行预清洁。
在步骤202,去除相邻虚设栅极的间隔物层的一部分(图2C)。如图2C所示,移除间隔物层150的一部分,使得间隔物层150的顶表面与虚设栅极160的顶表面齐平。以此种方式,在步骤210中去除虚设栅极160的第一部分用于在去除步骤202中控制间隔物层150的高度。可以在步骤202中使用多种合适工艺包括湿式蚀刻和干式蚀刻工艺以去除间隔物层150的部分。在一些情况下,步骤202可以称为间隔物拉回(spacer pull back,SPB)步骤。因为间隔物层150被拉回,所以第二氧化层的部分可以延伸到间隔物层150的顶表面,上述顶表面已经被拉回并且高度减小,例如,如图2E和图1所示。
在步骤203,去除虚设栅极的第二部分(图2D)。如图2D所示,从半导体结构100完全去除虚设栅极160。在一些实施例中,步骤203分为两个步骤:干式蚀刻步骤和湿式蚀刻步骤。干式蚀刻步骤可以用于去除虚设栅极160的大部分剩余材料,之后湿式蚀刻步骤可以用于更仔细和清洁地去除虚设栅极160中保持相邻第一氧化层141的剩余材料。然而,特别是在具有非常小的部件尺寸的半导体装置中,在步骤203中去除虚设栅极160可能并不完美。例如,一些残留物(例如多晶硅残留物)可能残留在第一氧化层的表面及/或间隔物层150的侧壁上,及/或可能对第一氧化层141造成损坏。虚设栅极160的第二部分可以在步骤203中使用多种不同数量的步骤和多种合适的工艺去除,包括湿式蚀刻以及干式蚀刻工艺。
在步骤204,相邻第一氧化层形成第二氧化层(图2E)。如图2E所示,相邻第一氧化层141形成第二氧化层142。第二氧化层142可以使用多种合适的沉积工艺形成,包括物理气相沉积、化学气相沉积、电化学沉积、原子层沉积、其他合适的工艺及其组合。在第一氧化层141上方形成第二氧化层142可以覆盖由于在步骤203中去除虚设栅极160的第二部分而可能对第一氧化层141造成的任何损坏。第一氧化层141和第二氧化层142一同形成复合氧化层。上述复合氧化层提供更坚固和更一致的氧化层以保护和隔离输入/输出鳍片114。此外,第二氧化层142可以形成为比第一氧化层141厚。其使得第一氧化层141可以形成为更薄,从而扩大用于形成和去除虚设栅极160的工艺宽裕度(window)以及扩大半导体结构100中鳍片之间的间距。
在步骤204之后,可以执行额外的清洁工艺以准备半导体结构100中的各种材料,用于在步骤205中施加光刻胶,如下所述。例如,第二氧化层142的表面可以在与输入/输出鳍片114相关的半导体结构100区域中被清洁。可以使用各种合适的溶液和工艺以执行一个或多个清洁步骤,包括使用上述的DHF及/或研磨工艺,例如化学机械平坦化。
在步骤205,将光刻胶施加在第二氧化层的第二部分上而不在第二氧化层的第一部分上(图2F)。如图2F所示,在与输入/输出鳍片114相关的半导体结构100区域(即,第二氧化层142的第二部分)中,将光刻胶170施加在第二氧化层142的表面上。然而,如图2F所示,光刻胶170没有施加在与核心鳍片112相关的半导体结构区域中的第二氧化层142的表面上(即,第二氧化层142的第一部分)。以此种方式施加光刻胶170提供去除相邻核心鳍片112的半导体结构100区域中的第一氧化层141和第二氧化层142,而不去除相邻输入/输出鳍片114的半导体结构100区域中的第一氧化层141和第二氧化层142的能力。可以使用多种不同类型的合适材料实施光刻胶170,并且可以在步骤205中以各种合适的方式施加光刻胶170。
在步骤205之后,可以在以下讨论的去除步骤206之前执行各种合适的步骤处理光刻胶170。例如,可以使用除渣(descum)工艺以去除在施加之后可能留在光刻胶170上的任何残留浮渣(scum)。上述残留浮渣的去除可以促进改善去除步骤206。在工艺200进行到步骤206之前,可以使用溶液,例如去离子水(deionized water,DIW)和其他合适溶液进一步处理光刻胶170。
在步骤206,去除第二氧化层的第一部分和第一氧化层(图2G)。如图2G所示,第二氧化层142的第一部分连同第一氧化层141的相邻部分一起被去除。第二氧化层142的第一部分和第一氧化层141的相邻部分可以在步骤206中使用多种合适的工艺去除,包括湿式蚀刻和干式蚀刻工艺。由于在步骤206中以此种方式去除第二氧化层142的第一部分和第一氧化层141的相邻部分,当形成栅极(例如,如上述的HKMG结构和栅极氧化层)时,栅极将与核心鳍片112相邻。此外,由于第一氧化层141和第二氧化层142在与输入/输出鳍片114相关的半导体结构100区域中受到光刻胶170保护,在步骤206中,第一氧化层141和第二氧化层142在与输入/输出鳍片114相关的半导体结构100区域中未被去除。
在步骤207,去除光刻胶(图2H)。如图2H所示,去除光刻胶170。可以在步骤207中使用各种合适的工艺去除光刻胶170,包括湿式蚀刻和干式蚀刻工艺。在步骤207之后,工艺200一般来说已完成,然而IC制造工艺的FEOL部分继续进行。应当注意的是,形成栅极,例如HKMG结构以及用于源极和漏极端子的外延区。此外,在栅极、源极和漏极端子上形成接触件,并形成互连结构以在执行封装步骤之前连接各个半导体装置。在工艺200之后,包括第一氧化层141和第二氧化层142的坚固且可靠的复合氧化层相邻输入/输出鳍片114形成。
应当理解,对工艺200的各种修改都涵盖在本公开的范围内。例如,可以在多种不同的半导体应用中形成例如本公开所讨论的复合氧化层。这些复合氧化层不必如本公开提供的示例所讨论在去除虚设栅极之后形成,基于本公开,所属领域技术人员将理解可以以多种方式使用相似的复合氧化层。
如以上详细说明,本公开提供一种半导体结构及用于制造半导体结构的方法,包括使用两个单独的氧化层以提高装置可靠度。相邻鳍片形成第一氧化层,相邻第一氧化层形成虚设栅极,去除虚设栅极,之后相邻第一氧化层形成第二氧化层。在去除虚设栅极时,使用第二氧化层可以通过覆盖可能对第一氧化层造成的任何损坏以提高装置可靠度。
在一些实施例中,本公开提供一种半导体结构,包括:鳍片;隔离结构,相邻鳍片;介电层,相邻隔离结构;第一氧化层,相邻鳍片、隔离结构以及介电层;以及第二氧化层,相邻第一氧化层,第一氧化层以及第二氧化层定义复合(composite)氧化层,其中复合氧化层的水平部分比复合氧化层的垂直部分厚。
在一些实施例中,第二氧化层的厚度大于第一氧化层的厚度。
在一些实施例中,鳍片包括第一鳍片,并且其中第一鳍片在第一电压操作的输入/输出鳍片。
在一些实施例中,还包括第二鳍片,其中第二鳍片在第二电压操作的核心鳍片,第二电压小于第一电压。
在一些实施例中,还包括栅极,形成为相邻第二氧化层以及相邻第二鳍片。
在一些实施例中,还包括栅极,形成为相邻第二氧化层。
在另一些实施例中,本公开提供一种制造半导体结构的方法,包括:相邻鳍片形成第一氧化层;相邻第一氧化层形成虚设栅极;去除虚设栅极;相邻第一氧化层形成第二氧化层;以及相邻第二氧化层形成栅极。
在另一些实施例中,形成第一氧化层还包括相邻隔离结构以及相邻介电层形成第一氧化层。
在另一些实施例中,相邻第一氧化层形成第二氧化层包括相邻第一氧化层形成第二氧化层,使第二氧化层的厚度大于第一氧化层的厚度。
在另一些实施例中,鳍片包括第一鳍片;半导体结构还包括第二鳍片;第一鳍片为输入/输出鳍片并且第二鳍片为核心鳍片;形成第一氧化层包括相邻第一鳍片以及第二鳍片形成第一氧化层;以及方法还包括在形成栅极之前,去除第二氧化层的部分以及与第二鳍片相邻的第一氧化层的部分。
在另一些实施例中,形成栅极包括相邻第二鳍片形成栅极。
在另一些实施例中,第二氧化层的部分包括第二氧化层的第一部分,方法还包括在去除第二氧化层的第一部分以及第一氧化层的部分之前,在第二氧化层的第二部分上方施加光刻胶。
在另一些实施例中,形成虚设栅极包括使用多晶硅形成虚设栅极,并且其中去除虚设栅极包括使用干式蚀刻工艺以及湿式清洁工艺以去除虚设栅极。
在又一个实施例中,本公开提供一种制造半导体结构的方法,包括:相邻第一鳍片以及相邻第二鳍片形成第一氧化层,第一鳍片包括输入/输出鳍片并且第二鳍片包括核心鳍片;相邻第一氧化层形成虚设栅极;去除虚设栅极;相邻第一氧化层形成第二氧化层;去除第二氧化层的部分以及第一氧化层的部分;以及相邻第二氧化层以及相邻第二鳍片形成栅极。
在又一些实施例中,形成第一氧化层还包括相邻隔离结构以及相邻介电层形成第一氧化层。
在又一些实施例中,相邻第一氧化层形成第二氧化层包括相邻第一氧化层形成第二氧化层,使第二氧化层的厚度大于第一氧化层的厚度。
在又一些实施例中,形成虚设栅极包括使用多晶硅形成虚设栅极,并且其中去除虚设栅极包括使用干式蚀刻工艺以及湿式清洁工艺以去除虚设栅极。
在又一些实施例中,第二氧化层的部分包括第二氧化层的第一部分,方法还包括在去除第二氧化层的第一部分以及第一氧化层的部分之前,在第二氧化层的第二部分上方施加光刻胶。
在又一些实施例中,还包括在去除虚设栅极之前,去除形成为相邻介电层的间隔物层的部分。
在又一些实施例中,去除第二氧化层的部分以及第一氧化层的部分包括使用干式蚀刻工艺去除第二氧化层的部分以及第一氧化层的部分。
以上概述数个实施例的特征,以使本发明所属技术领域中技术人员可以更加理解本发明实施例的观点。本发明所属技术领域中技术人员应理解,可轻易地以本发明实施例为基础,设计或修改其他工艺和结构,以达到与在此介绍的实施例相同的目的及/或优势。在本发明所属技术领域中技术人员也应理解,此类等效的结构并无悖离本发明的精神与范围,且可在不违背本发明的精神和范围下,做各式各样的改变、取代和替换。因此,本发明的保护范围当视随附权利要求所界定为准。

Claims (1)

1.一种半导体结构,包括:
一鳍片;
一隔离结构,相邻该鳍片;
一介电层,相邻该隔离结构;
一第一氧化层,相邻该鳍片、该隔离结构以及该介电层;以及
一第二氧化层,相邻该第一氧化层,该第一氧化物层以及该第二氧化物层定义一复合氧化层,其中该复合氧化层的一水平部分比该复合氧化层的一垂直部分厚。
CN202210792616.1A 2021-07-30 2022-07-05 半导体结构 Pending CN115440802A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/389,685 US20230031993A1 (en) 2021-07-30 2021-07-30 Semiconductor structure with composite oxide layer
US17/389,685 2021-07-30

Publications (1)

Publication Number Publication Date
CN115440802A true CN115440802A (zh) 2022-12-06

Family

ID=84240889

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210792616.1A Pending CN115440802A (zh) 2021-07-30 2022-07-05 半导体结构

Country Status (3)

Country Link
US (1) US20230031993A1 (zh)
CN (1) CN115440802A (zh)
TW (1) TW202306026A (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105633135B (zh) * 2014-11-06 2019-03-12 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
KR102424963B1 (ko) * 2015-07-30 2022-07-25 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9576980B1 (en) * 2015-08-20 2017-02-21 International Business Machines Corporation FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure
US10971593B2 (en) * 2019-06-14 2021-04-06 International Business Machines Corporation Oxygen reservoir for low threshold voltage P-type MOSFET

Also Published As

Publication number Publication date
US20230031993A1 (en) 2023-02-02
TW202306026A (zh) 2023-02-01

Similar Documents

Publication Publication Date Title
US11637207B2 (en) Gate-all-around structure and methods of forming the same
US11855089B2 (en) Method and structure for FinFET devices
CN113782531B (zh) 包括鳍式场效应晶体管的半导体器件
US10770559B2 (en) Gate structure and methods of forming metal gate isolation
TWI570915B (zh) 半導體裝置以及製造鰭式場效電晶體裝置的方法
US10861740B2 (en) Method of forming trenches with different depths
KR101769211B1 (ko) Finfet 디바이스를 위한 방법 및 구조체
KR101808919B1 (ko) 도핑된 격리 절연 층을 갖는 finfet을 제조하기 위한 방법
US11152488B2 (en) Gate-all-around structure with dummy pattern top in channel region and methods of forming the same
CN111128887B (zh) 形成半导体器件的方法
US9859276B2 (en) FinFET semiconductor device having fins with stronger structural strength
US12046681B2 (en) Gate-all-around structure with self substrate isolation and methods of forming the same
CN106098554B (zh) 栅极上的缓冲层及其形成方法
US11749742B2 (en) Self-aligned inner spacer on gate-all-around structure and methods of forming the same
US11676869B2 (en) Semiconductor device and manufacturing method thereof
US11996482B2 (en) Semiconductor device
US11348830B2 (en) Method of forming trenches with different depths
KR102045357B1 (ko) 랩-어라운드형 에피택셜 구조 및 방법
CN111554578B (zh) 半导体结构及其形成方法
US20230031993A1 (en) Semiconductor structure with composite oxide layer

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication