CN114422085B - 基于fpga的优化速率匹配方法及系统 - Google Patents

基于fpga的优化速率匹配方法及系统 Download PDF

Info

Publication number
CN114422085B
CN114422085B CN202210069145.1A CN202210069145A CN114422085B CN 114422085 B CN114422085 B CN 114422085B CN 202210069145 A CN202210069145 A CN 202210069145A CN 114422085 B CN114422085 B CN 114422085B
Authority
CN
China
Prior art keywords
bit
bits
check
read
output
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202210069145.1A
Other languages
English (en)
Other versions
CN114422085A (zh
Inventor
胡海勤
曹姗
姜之源
江立旻
彭飞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Shanghai for Science and Technology
Original Assignee
University of Shanghai for Science and Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Shanghai for Science and Technology filed Critical University of Shanghai for Science and Technology
Priority to CN202210069145.1A priority Critical patent/CN114422085B/zh
Publication of CN114422085A publication Critical patent/CN114422085A/zh
Application granted granted Critical
Publication of CN114422085B publication Critical patent/CN114422085B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L1/00Arrangements for detecting or preventing errors in the information received
    • H04L1/0001Systems modifying transmission characteristics according to link quality, e.g. power backoff
    • H04L1/0009Systems modifying transmission characteristics according to link quality, e.g. power backoff by adapting the channel coding
    • H04L1/0013Rate matching, e.g. puncturing or repetition of code symbols
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03MCODING; DECODING; CODE CONVERSION IN GENERAL
    • H03M13/00Coding, decoding or code conversion, for error detection or error correction; Coding theory basic assumptions; Coding bounds; Error probability evaluation methods; Channel models; Simulation or testing of codes
    • H03M13/29Coding, decoding or code conversion, for error detection or error correction; Coding theory basic assumptions; Coding bounds; Error probability evaluation methods; Channel models; Simulation or testing of codes combining two or more codes or code structures, e.g. product codes, generalised product codes, concatenated codes, inner and outer codes
    • H03M13/2957Turbo codes and decoding
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03MCODING; DECODING; CODE CONVERSION IN GENERAL
    • H03M13/00Coding, decoding or code conversion, for error detection or error correction; Coding theory basic assumptions; Coding bounds; Error probability evaluation methods; Channel models; Simulation or testing of codes
    • H03M13/65Purpose and implementation aspects
    • H03M13/6569Implementation on processors, e.g. DSPs, or software implementations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Probability & Statistics with Applications (AREA)
  • Theoretical Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Error Detection And Correction (AREA)

Abstract

一种基于FPGA的优化速率匹配方法及系统,根据Turbo编码后得到的比特流,分别生成系统比特、第一、第二校验比特,在输出数据时参考速率匹配的具体规则对应进行地址转换并从各交织矩阵中读取数据后以串行方式输出结果。本发明针对FPGA的特点进行速率匹配方式的优化,并在FPGA上实现并进一步优化,显著减少了数据搬移次数,降低了计算消耗的时间和存储空间。

Description

基于FPGA的优化速率匹配方法及系统
技术领域
本发明涉及的是一种通信编码领域的技术,具体是一种基于FPGA的优化速率匹配方法及系统。
背景技术
在LTE通信系统中,为降低信息码元的传输误码率,采用Turbo码作为信道的查错控制技术,提高通信系统的可靠性。Turbo码是一种编码率为1/3的并行级联卷积编码(PCCC,Parallel Concatenated ConvolutionalCode),通过一段经过码块切分和CRC添加的二进制比特流输出为三段长度均为Dr=Kr+4的比特流简记为/>其中:r码块序号,Kr是码块r中的比特数目,其取值范围介于40到6144;i=0,1,2,/>被称为系统比特流(Systematic),/>被称为第一校验比特流(Parity1),/>被称为第二校验比特流(Parity2),三段比特流并行同时输出。
为能够更好地提升通信系统的纠错能力,LTE系统在速率匹配前先需要进行CRC添加、码块分割、信道编码,其中速率匹配是根据编码率为1/3的比特流输出/>其中:Er是码块r中的速率匹配比特数目。
由于速率匹配的处理过程中涉及到大量的数据搬移,速率匹配操作成为LTE基带处理和硬件实现当中的一大瓶颈,严重制约基带的处理性能。尽管现有的速率匹配流程确实可以实现功能,并有在FPGA上实现的可行性。但从硬件的角度来看,该流程还存在着很大的优化空间。
按照传统的速率匹配方案,系统比特流第一校验比特流/>第二校验比特流三路数据需要分别先进行子块交织。在子块交织这一过程中,由于需要将交织矩阵中某一列的数据完整地读取出来,再写入到交织后矩阵中另一列的位置上,因此直接将这两个步骤映射到FPGA当中的话需要两个不同的RAM。占据大量的硬件资源作为存储空间,消耗数据存储和读取的时间。以输入的/>的码长D均为5700比特为例,那么上述两步步骤就需要消耗掉高达5700×6比特的存储空间,当不采用并行方式,存取这些比特还将至少花费5700×6个时钟周期。同样地,对于比特收集这一步,这一步计算完成的是对交织后的三路数据的一个重新的排列,需要进行排列的数据的长度就是三路数据的长度之和。当原始码长为5700比特,对应的就要消耗掉5700×3比特的存储空间和5700×3拍个时钟周期进行数据存取。比特选择与输出这一步中所完成的就是从收集完成的数据当中挑选出合适长度的数据。当输入的wk的总长度大于信道的所能承载的比特个数,将有一部分花费存储空间和处理时间所得到的数据不能够被输出,浪费大量的计算资源。
根据以上分析,按照传统的速率匹配方案对一长为5700比特的码块进行速率匹配,总共需要消耗5700×9比特的存储空间,至少5700×9个时钟周期。
发明内容
本发明针对现有速率匹配技术在FPGA上资源消耗和时延较大的问题,提出一种基于FPGA的优化速率匹配方法及系统,针对FPGA的特点进行速率匹配方式的优化,并在FPGA上实现并进一步优化,显著减少了数据搬移次数,降低了计算消耗的时间和存储空间。
本发明是通过以下技术方案实现的:
本发明涉及一种基于FPGA的优化速率匹配方法,根据Turbo编码后得到的比特流,分别生成系统比特、第一、第二校验比特交织矩阵,在输出数据时参考速率匹配的具体规则对应进行地址转换并从各交织矩阵中读取数据后以串行方式输出结果。
所述的系统比特、第一、第二校验比特交织矩阵,分别通过以下方式得到:设置等长的系统数组systematic、第一校验数组parity1、第二校验数组parity2,并分别在三个数组的头部存入虚比特,即设置比特值为NULL;随后将Turbo编码后得到的等长的系统比特流第一校验比特流/>和第二校验比特流/>分别存储至三个数组中,若将该三个数组按每32个元素分一行的方式,即等效于得到系统比特、第一、第二校验比特的交织矩阵,其中:k表示当前已经输出的比特数量。
所述的对应进行地址转换包括:①对于系统比特和第一校验比特交织矩阵,通过地址转换式将行号和列号转换为所选择的比特在系统数组systematic、第一校验数组parity1中实际存储的位置,其中:数组P表示列间置换矩阵;②对于第二校验比特交织矩阵,通过地址转换式/> 转换为所选择的比特在第二校验数组parity2中实际存储的位置,其中:“+1”和整除矩阵总元素个数对应协议中第二校验比特矩阵额外的循环移位。
技术效果
本发明利用交织矩阵的特性,设计新的速率匹配算法,减少无谓的数据反复搬移,降低算法的时延和存储空间的消耗;本发明系统利用Turbo编码码长与对应的虚比特个数之间的关系,通过基于查表法的虚比特个数计算器,减少硬件资源的开销;本发明利用了FPGA中Block RAM映射的规则的特点,将富余的硬件资源用于在比特储存器、第一校验比特储存器、第二校验比特储存器中设置虚比特信息位,使得FPGA中BlockRAM的资源利用更为充分,免去进行比特选择输出时对虚比特需要进行额外判断的硬件结构;本发明通过将读取状态机的四种状态的其中的三种状态进行独热编码,使得状态寄存器可用于储存器的选通,节省资源。所述硬件架构不仅继承了针对FPGA硬件结构的新速率匹配算法所带来的低算法的时延和低存储空间的消耗,还进一步降低了LUT、FF这些其他硬件资源的消耗。
附图说明
图1为本发明方法流程图;
图2为本发明系统示意图;
图3为实施例虚比特个数计算器示意图;
图4为实施例储存器示意图;
图5为读取计数器、列交织器、读取地址翻译器示意图;
图6为读取状态机的状态转移示意图;
图7为实施例效果示意图。
具体实施方式
如图1所示,为本发明中涉及的一种针对FPGA的新速率匹配算法,包括以下步骤:
①计算系统比特流长度D、虚比特长度ND、待匹配比特流长度E。
②设置三个等长的数组systematic、parity1和parity2,分别在三个数组的头部存入虚比特,即设置比特值为NULL;随后将Turbo编码后得到的三段等长的比特流分别存储至三个数组中,作为系统比特、第一、第二校验比特的交织矩阵。
③变量初始化:当计数器变量k小于步骤①计算得到的待匹配比特流长度E时,则表明要继续输出则执行步骤④,反之则停止输出。
④由于数组的长度等长,输出比特时,通过分别计算并比较 与/>以判断当前应当输出的是系统比特、第一或第二校验比特,其中:速率匹配输出的首个比特的位置Ncb表示第r个码块软缓存比特长度,rvidx表示该传输的冗余版本号,/>表示交织矩阵的行数,/>表示交织矩阵的列数;当比较结果为小于,则从systematic数组中读取系统比特,否则判断/> 是奇数时,则从parity1数组中读取第一校验比特;当是偶数时,则从parity2数组中读取第二校验比特。
⑤对于系统数组systematic、第一校验数组parity1,通过地址转换式 将行号和列号转换为所选择的比特在systematic数组和parity1数组中实际存储的位置,其中:行变量row和列变量col分别表示当前选择的比特在交织矩阵中的位置,数组P表示列间置换矩阵;对于第二校验数组parity2,通过地址转换式/> 进行转换,其中:“+1”和整除矩阵总元素个数对应协议中第二校验比特矩阵额外的循环移位。
所述的列间置换矩阵P具体为:[0,16,8,24,4,20,12,28,2,18,10,26,6,22,14,30,1,17,9,25,5,21,13,29,3,19,11,27,7,23,15,31]。
⑥根据步骤⑤计算得到的待选取比特所在的数组名称和读取地址读取交织矩阵,选取得到一个比特,然后判断当比特的值为NULL,即虚比特时则不输出,且计数器变量k不进行累加,否则输出,并令计数器变量k增加1。
⑦每输出一个系统比特或每输出两个校验比特后,更新所选择的比特在交织矩阵中的相对位置,具体为:累加行变量row,当行号累加到交织矩阵末尾后,再累加列变量col。
如图2所示,为本实施例涉及的一种实现上述优化速率匹配方法的系统,具备三条数据输入端,支持系统比特流、第一和第二校验比特流并行输入,采用串行输出匹配后的二进制信号,输出数据的位宽为1位,同时还配置输出使能信号,该系统包括:配置信息计算器、比特流采集器、码块计数器、写入计数器、虚比特生成器、系统比特储存器systematicRAM、第一校验比特储存器parity1RAM、第二校验比特储存器parity2RAM、读取状态机、读取计数器、列交织器、读地址翻译器和输出计数器,其中:配置信息计算器根据上层配置计算此次速率匹配所需要接收和匹配后的二进制信号的长度、交织矩阵行数、虚比特个数等关键信息,比特流采集器与前级Turbo编码器相连,并行接收三条等长的代表比特流的二进制信号;写入计数器实时监控当前比特流采集器所从Turbo编码器接收到的二进制信号长度,并将当前计数值作为比特储存器的储存地址报告给系统比特、第一和第二校验比特储存器;三个储存器分别生成系统矩阵、第一和第二校验比特矩阵;在完成数据存储后,读取状态机将根据当前的上层指定的冗余版本号,从无读取状态(NO_RAM_READING)转入读取系统比特状态(S_RAM_READING)或读取第一校验比特状态(P1_RAM_READING)或读取第二校验比特状态(P2_RAM_READING)状态,这三种状态将分别选通系统比特、第一和第二校验比特储存器进行数据输出;读取计数器参照读取状态机的状态给出行号和列号两个计数值,通过列交织器和读地址翻译器两个器件将该两个计数值转换成所需数据在系统比特、第一和第二校验比特储存器中实际所在的地址,提供给当前需要输出数据的储存器;输出计数器实时监控当前已经输出的二进制信号长度,一旦信号长度达到了配置信息计算器给出的匹配后的二进制信号的长度,即告知读取状态机回到NO_RAM_READING状态,进而停止系统比特、第一和第二校验比特储存器的数据输出;码块计数器依据读取状态机的状态转换情况统计当前Turbo编码器输出了几段二进制信号,该器件向外报告当前的统计情况,便于速率匹配之后的所需要进行其他的信号处理。
如图3所示,所述的配置信息计算器根据Turbo编码器输出并行接收三条等长的代表比特流的二进制信号,根据其最低5位查表后输出的虚比特个数N0至虚比特生成器。
所述的查表是指:将LTE中所有Turbo编码长度整除于32,共有四种可能的结果,分别为0,8,16,24,分别对应4种可能的虚比特个数,为28,20,12,4,基于此分析,可以采用查表法计算虚比特个数,而不是现有算法中的减法,节省FPGA的4个寄存器(Filp-Flop)的硬件资源。
所述的基于FPGA的速率匹配系统中,通过BlockRAM实现存取比特,具体为:Xilinx7系列的FPGA,其BlockRAM的映射是以18Kbits为单位进行的,对于LTETurbo比特流,其数据量最大为6144bits,需要在FPGA上映射一个18K的BRAM。
为充分利用BlockRAM本身的存储资源,提升硬件资源的利用率,本实施例将存入到储存器中的数据设计为0、1和虚比特NULL,使得存入FPGA的BlockRAM中的数据位宽增加为2bits,具体如图4所示,所述的系统比特储存器、第一校验比特储存器、第二校验比特储存器的结构相同,均在收到的数字信号所代表的数据为0时,将10存入存储器;当收到的数字信号所代表的数据为1时,将11存入存储器;当存入虚比特时,将00存入存储器,存入数据的高一位由虚比特生成器提供;低一位由比特流采集器提供。
采用如图4所示的方式存储6144bits长度的Turbo比特流消耗的存储空间变为6144×2=12288bits,在FPGA当中仍然被映射为18KBlockRAM,利用率66%。
优选地,本实施例再将剩余的存储资源用于虚比特的存储以及留作备用,将该Block RAM具体配置为位宽2,深度8192的BlockRAM。与此同时,由于虚比特本身也被存入到存储器中,本实施例中的硬件结构不再需要通过地址判断何处是虚比特,可以直接将存入RAM中的低一位数据赋给数据输出寄存器,将高一位数据作为输出数据的使能信号,实现在Block RAM硬件资源消耗不增加的情况下,节省8个查找表(Look-UpTable,LUT)和5个寄存器资源。
所述的写入计数器的计数范围为0至ND+D-1,。在写入计数器的控制下,储存器将虚比特和比特流,存入到地址范围为0至ND+D-1之间的存储空间中。
如图5所示,所述的读取计数器包括:行选取计数器row和列选取计数器col,其计数范围分别是从0到和从0到/>
所述的列交织器通过查找表中保存的交织矩阵P的内容,将列计数器的计数值col转化为交织后矩阵的列号P[col]。
所述的读取地址翻译器通过乘法器和加法器,根据来自读取计数器的行号row和来自列交织器的交织后列号计算为读地址
所述的读取地址翻译器通过将信号左移五位的方式实现乘32计算,不消耗硬件资源,而取余算法仅在读取计数器选取到交织矩阵最后一个元素时会使得需要赋给readaddress的值与的计算结果不同,因此在硬件设计中将这种情况作为一种特殊情况,通过强制复位的方式实现这一翻译地址的跳变。
如图6所示,所述的读取状态机,为有限状态机(FSM),包括:无读取状态(NO_RAM_READING)、读取系统比特状态(S_RAM_READING)、读取第一校验比特状态(P1_RAM_READING)、读取第二校验比特状态(P2_RAM_READING),其中:读取系统比特状态、读取第一校验比特状态、读取第二校验比特状态三种状态采用独热编码。
所述的状态机的状态由一组位宽为三位的状态寄存器保存,其中:第一位表示选通系统比特储存器,第二位表示选通第一校验比特储存器,第三位表示选通第二校验比特储存器,并且第二和第三位组合控制读取计数器与翻译器,使得状态机中的状态寄存器中的每一位可直接用于选通存储器进行读操作,实现一寄存器发挥多种功能,节省硬件资源。
在比特选取过程中,第一校验比特和第二校验比特直接需要交替选取,且选取的是交织矩阵的同一位置的比特。因此,在状态机处于读取第一校验比特状态时,需要暂停读取计数器的累加;第二校验比特的交织后矩阵需要进行一步额外的循环移位。因此,在状态机处于读取第二校验比特状态时,需要在读取地址翻译器的输出地址上额外加一。
经过具体实际实验,在XilinxVirtex-7XC7Z035FFG676-2FPGA上进行实现,得到如图7所示的输入输出时序图,图中所使用的系统比特流,第一校验比特流,第二校验比特流测试向量长度为5700比特,三条比特流并行输入;输出的速率匹配后比特流长度为7776比特。
如图可见,从系统比特流,第一校验比特流,第二校验比特流输入至速率匹配后比特流输出之间的间隔为5710个时钟周期,对比现有的速率匹配算法,该算法的时延大幅下降。
表1优化的速率匹配硬件结构的资源消耗
名称 数量
LUT 206
FF 246
BRAM 1.5
如表1所示,为优化的速率匹配硬件结构的在FPGA上映射后的资源消耗,该硬件结构在Virtex-7器件上的最大综合频率可达到430.08MHz。
与现有技术相比,本发明通过校验比特矩阵对应进行地址转换直接得到输出比特流,从而减少数据搬移次数,降低计算消耗的时间和存储空间;通过信息计算器中所包含的基于查表法的虚比特个数计算器的设计;所述的新速率匹配硬件结构中系统比特储存器、第一校验比特储存器、第二校验比特储存器中通过设置虚比特信息位的设计;通过读取状态机中通过将状态机的四种状态的其中的三种状态进行独热编码的设计。综上,本发明通过较少的硬件资源,尤其是存储资源的开销、同时在此资源占用的条件下仍然实现较低的时延和高达430.08MHz的综合频率,非常适合资源量有限的FPGA器件。
上述具体实施可由本领域技术人员在不背离本发明原理和宗旨的前提下以不同的方式对其进行局部调整,本发明的保护范围以权利要求书为准且不由上述具体实施所限,在其范围内的各个实现方案均受本发明之约束。

Claims (8)

1.一种基于FPGA的优化速率匹配方法,其特征在于,根据Turbo编码后得到的比特流,分别生成系统比特、第一、第二校验比特,在输出数据时参考速率匹配的具体规则对应进行地址转换并从各交织矩阵中读取数据后以串行方式输出结果;
所述的系统比特、第一、第二校验比特交织矩阵,分别通过以下方式得到:设置等长的系统数组systematic、第一校验数组parity1、第二校验数组parity2,并分别在三个数组的头部存入虚比特,即设置比特值为NULL;随后将Turbo编码后得到的等长的系统比特流第一校验比特流/>和第二校验比特流/>分别存储至三个数组中,将该三个数组按每32个元素分一行的方式,即等效于得到系统比特、第一、第二校验比特的交织矩阵,其中:k表示当前已经输出的比特数量;
所述的优化速率匹配,具体包括:
①计算系统比特流长度D、虚比特长度ND、待匹配比特流长度E;
②设置三个等长的数组systematic、parity1和parity2,分别在三个数组的头部存入虚比特,即设置比特值为NULL;随后将Turbo编码后得到的三段等长的比特流分别存储至三个数组中,作为系统比特、第一、第二校验比特;
③变量初始化:当计数器变量k小于步骤①计算得到的待匹配比特流长度E时,则表明要继续输出则执行步骤④,反之则停止输出;
④由于数组的长度等长,输出比特时,通过分别计算并判断 是否小于/>以确定当前应当输出的是系统比特、第一或第二校验比特,其中:速率匹配输出的首个比特的位置/>Ncb表示第r个码块软缓存比特长度,rvidx表示传输的冗余版本号,/>表示交织矩阵的行数,表示交织矩阵的列数;
所述的判断,当比较结果为小于,则从systematic数组中读取系统比特,否则判断 是奇数时,则从parity1数组中读取第一校验比特;当是偶数时,则从parity2数组中读取第二校验比特;
⑤对于系统比特和第一校验比特,通过地址转换式 将行号和列号转换为所选择的比特在systematic数组和parity1数组中实际存储的位置,其中:行变量row和列变量col分别表示当前选择的比特在交织矩阵中的位置,数组P表示列间置换矩阵;对于第二校验比特,通过地址转换式 进行转换,其中:“+1”和整除矩阵总元素个数对应协议中第二校验比特矩阵额外的循环移位;
⑥根据步骤⑤计算得到的待选取比特所在的数组名称和读取地址读取交织矩阵,选取得到一个比特,然后判断当比特的值为NULL,即虚比特时则不输出,且计数器变量k不进行累加,否则输出,并令计数器变量k增加1;
⑦每输出一个系统比特或每输出两个校验比特后,更新所选择的比特在交织矩阵中的相对位置,具体为:累加行变量row,当行号累加到交织矩阵末尾后,再累加列变量col。
2.一种实现权利要求1所述优化速率匹配方法的系统,其特征在于,具备3条数据输入端,支持系统比特流、第一和第二校验比特流并行输入,采用串行输出匹配后的二进制信号,输出数据的位宽为1位,同时还配置输出使能信号,该系统包括:配置信息计算器、比特流采集器、码块计数器、写入计数器、虚比特生成器、系统比特储存器systematicRAM、第一校验比特储存器parity1RAM、第二校验比特储存器parity2RAM、读取状态机、读取计数器、列交织器、读地址翻译器和输出计数器,其中:配置信息计算器根据上层配置计算此次速率匹配所需要接收和匹配后的二进制信号的长度、交织矩阵行数、虚比特个数关键信息,比特流采集器与前级Turbo编码器相连,并行接收三条等长的代表比特流的二进制信号;写入计数器实时监控当前比特流采集器所从Turbo编码器接收到的二进制信号长度,并将当前计数值作为比特储存器的储存地址报告给系统比特、第一和第二校验比特储存器;三个储存器分别生成系统矩阵、第一和第二校验比特矩阵;在完成数据存储后,读取状态机将根据当前的上层指定的冗余版本号,从无读取状态转入读取系统比特状态或读取第一校验比特状态或读取第二校验比特状态,这三种状态将分别选通系统比特、第一和第二校验比特储存器进行数据输出;读取计数器参照读取状态机的状态给出行号和列号两个计数值,通过列交织器和读地址翻译器两个器件将该两个计数值转换成所需数据在系统比特、第一和第二校验比特储存器中实际所在的地址,提供给当前需要输出数据的储存器;输出计数器实时监控当前已经输出的二进制信号长度,一旦信号长度达到了配置信息计算器给出的匹配后的二进制信号的长度,即告知读取状态机回到NO_RAM_READING状态,进而停止系统比特、第一和第二校验比特储存器的数据输出;码块计数器依据读取状态机的状态转换情况统计当前Turbo编码器输出了几段二进制信号,该器件向外报告当前的统计情况。
3.根据权利要求2所述的系统,其特征是,所述的配置信息计算器根据Turbo编码器输出并行接收三条等长的代表比特流的二进制信号,根据其最低5位查表后输出的虚比特个数N0至虚比特生成器;
所述的查表是指:将LTE中所有Turbo编码长度整除于32,共有四种结果,分别为0,8,16,24,分别对应4种虚比特个数。
4.根据权利要求2所述的系统,其特征是,所述的基于FPGA的速率匹配系统中,通过Block RAM实现存取比特,将存入到储存器中的数据设计为0、1和虚比特NULL,使得存入FPGA的Block RAM中的数据位宽增加为2bits,具体为:所述的系统比特储存器、第一校验比特储存器、第二校验比特储存器的结构相同,均在收到比特流中的数据为0时,将10存入存储器;当收到的比特流中的数据为1时,将11存入存储器;当存入虚比特时,将00存入存储器,存入数据的高一位由虚比特生成器提供;低一位由比特流采集器提供。
5.根据权利要求4所述的系统,其特征是,将剩余的存储资源用于虚比特的存储以及留作备用,具体为:将该Block RAM具体配置为位宽2,深度8192的Block RAM后,不再需要通过地址判断何处是虚比特,直接将存入RAM中的低一位数据赋给数据输出寄存器,将高一位数据作为输出数据的使能信号。
6.根据权利要求2所述的系统,其特征是,所述的写入计数器的计数范围为0至ND+D-1,在写入计数器的控制下,储存器将虚比特和比特流,存入到地址范围为0至ND+D-1之间的存储空间中。
7.根据权利要求2所述的系统,其特征是,所述的读取计数器包括:行选取计数器row和列选取计数器col,其计数范围分别是从0到和从0到/>
所述的列交织器通过查找表中保存的交织矩阵P的内容,将列计数器的计数值col转化为交织后矩阵的列号P[col];
所述的读取地址翻译器通过乘法器和加法器,根据来自读取计数器的行号row和来自列交织器的交织后列号计算为读地址或/>
所述的读取地址翻译器通过将信号左移五位的方式实现32位计算,取余算法仅在读取计数器选取到交织矩阵最后一个元素时会使得需要赋给readaddress的值与 的计算结果不同,通过强制复位的方式实现这一翻译地址的跳变。
8.根据权利要求2所述的系统,其特征是,所述的读取状态机,为有限状态机,包括:无读取状态、读取系统比特状态、读取第一校验比特状态、读取第二校验比特状态,其中:读取系统比特状态、读取第一校验比特状态、读取第二校验比特状态三种状态采用独热编码;
所述的状态机的状态由一组位宽为三位的状态寄存器保存,其中:第一位表示选通系统比特储存器,第二位表示选通第一校验比特储存器,第三位表示选通第二校验比特储存器,并且第二和第三位组合控制读取计数器与翻译器,使得状态机中的状态寄存器中的每一位可直接用于选通存储器进行读操作;
在比特选取过程中,第一校验比特和第二校验比特直接需要交替选取,且选取的是交织矩阵的同一位置的比特,因此,在状态机处于读取第一校验比特状态时,暂停读取计数器的累加;第二校验比特的交织后矩阵进行一步额外的循环移位,在状态机处于读取第二校验比特状态时,在读取地址翻译器的输出地址上额外加一。
CN202210069145.1A 2022-01-21 2022-01-21 基于fpga的优化速率匹配方法及系统 Active CN114422085B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210069145.1A CN114422085B (zh) 2022-01-21 2022-01-21 基于fpga的优化速率匹配方法及系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202210069145.1A CN114422085B (zh) 2022-01-21 2022-01-21 基于fpga的优化速率匹配方法及系统

Publications (2)

Publication Number Publication Date
CN114422085A CN114422085A (zh) 2022-04-29
CN114422085B true CN114422085B (zh) 2023-09-15

Family

ID=81275142

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210069145.1A Active CN114422085B (zh) 2022-01-21 2022-01-21 基于fpga的优化速率匹配方法及系统

Country Status (1)

Country Link
CN (1) CN114422085B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116015546B (zh) * 2022-12-13 2023-10-20 湖北公众信息产业有限责任公司 一种基于FPGA的任意长度turbo码速率匹配方法
CN116388926B (zh) * 2023-03-15 2023-09-22 归芯科技(深圳)有限公司 速率匹配方法、装置及芯片

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1336738A (zh) * 2001-07-11 2002-02-20 信息产业部电信传输研究所 现场实时产生宽带码分多址Turbo码内交织器算法及其装置
CN101944972A (zh) * 2010-09-20 2011-01-12 华为技术有限公司 编解码方法、装置以及通信系统
CN103929271A (zh) * 2014-03-28 2014-07-16 中国科学院自动化研究所 一种lte系统速率匹配的并行实现方法和装置
CN111600681A (zh) * 2020-05-15 2020-08-28 北京邮电大学 基于fpga硬件加速的下行链路比特级处理方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2461511A4 (en) * 2010-01-04 2014-01-22 Zte Corp SERIAL PROCESSING METHOD, BIT RATE MATCHING PARALLEL PROCESSING METHOD, AND DEVICE THEREOF

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1336738A (zh) * 2001-07-11 2002-02-20 信息产业部电信传输研究所 现场实时产生宽带码分多址Turbo码内交织器算法及其装置
CN101944972A (zh) * 2010-09-20 2011-01-12 华为技术有限公司 编解码方法、装置以及通信系统
CN103929271A (zh) * 2014-03-28 2014-07-16 中国科学院自动化研究所 一种lte系统速率匹配的并行实现方法和装置
CN111600681A (zh) * 2020-05-15 2020-08-28 北京邮电大学 基于fpga硬件加速的下行链路比特级处理方法

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
A comparative analysis of power and device utilization of LDPC and Turbo encoders;Qazi;International Conference on Information and Communication Technologies (ICICT Pakistan 2011);全文 *
A Unified Deep Learning Based Polar-LDPC Decoder for 5G Communication Systems;Wang yaohan;IEEE;全文 *
LTE 系统中基于 FPGA 速率匹配算法的仿真及实现;刘辉;电子技术应用;全文 *
LTE中HARQ混合自动重传技术的研究;胡巧芝;信息科技辑;全文 *

Also Published As

Publication number Publication date
CN114422085A (zh) 2022-04-29

Similar Documents

Publication Publication Date Title
CN114422085B (zh) 基于fpga的优化速率匹配方法及系统
US6035427A (en) Convolutional interleaver and method for generating memory address therefor
CN109347486B (zh) 低复杂度高吞吐率的5g ldpc编码器和编码方法
US20040168011A1 (en) Interleaving method and apparatus with parallel access in linear and interleaved order
JP2003152551A (ja) インターリービング順序発生器、インターリーバ、ターボエンコーダ、及びターボデコーダ
US8667377B1 (en) Circuit and method for parallel decoding
US11742879B2 (en) Machine-learning error-correcting code controller
CN111931925A (zh) 基于fpga的二值化神经网络的加速系统
CN100492919C (zh) 一跨序列排序涡轮码系统和其操作方法
CN101388673B (zh) 去交织设备和方法、交织索引产生设备和方法、以及介质
Chang A low-cost dual-mode deinterleaver design
CN1140148C (zh) 在移动通信系统中执行特博解码的方法
CN102201817B (zh) 基于存储器折叠架构优化的低功耗ldpc译码器
CN113472358B (zh) 一种基于准循环生成矩阵的高速并行编码器
CN114629507B (zh) 一种Turbo和LDPC码速率匹配和交织器共享存储设计方法
JP2009246474A (ja) ターボデコーダ
CN111030780A (zh) 一种可配置并行比特分组交织器及交织方法
CN104184536B (zh) 基于LTE Turbo译码的子块交织控制方法、装置及设备
US8875001B1 (en) Circuitry for parallel decoding of data blocks
CN102170333B (zh) 一种交织地址的并行计算方法及系统
CN115664429A (zh) 一种适用于LDPC与Turbo的双模译码器
CN1787386A (zh) 一种维特比译码器路径度量存储的方法
Chu et al. Power efficient low latency survivor memory architecture for Viterbi decoder
CN112632465A (zh) 基于fpga的实对称矩阵特征值分解的数据存储方法
KR100519335B1 (ko) 채널 인터리빙 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant