CN1138872C - 使用二(叔丁基氨基)硅烷淀积二氧化硅和氧氮化硅 - Google Patents

使用二(叔丁基氨基)硅烷淀积二氧化硅和氧氮化硅 Download PDF

Info

Publication number
CN1138872C
CN1138872C CNB991084594A CN99108459A CN1138872C CN 1138872 C CN1138872 C CN 1138872C CN B991084594 A CNB991084594 A CN B991084594A CN 99108459 A CN99108459 A CN 99108459A CN 1138872 C CN1138872 C CN 1138872C
Authority
CN
China
Prior art keywords
silicon
substrate
silane
reaction zone
reactant gases
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB991084594A
Other languages
English (en)
Other versions
CN1239155A (zh
Inventor
Rk
R·K·拉西曼
D·A·罗伯特斯
ղ���
A·K·霍赫伯格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN1239155A publication Critical patent/CN1239155A/zh
Application granted granted Critical
Publication of CN1138872C publication Critical patent/CN1138872C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种由反应气体O2、O3、N2O、NO、NO2、NH3和通式为(t-C4H9NH)2SiH2的硅烷进行二氧化硅和氧氮化硅化学蒸汽淀积的方法。一种方法由此通过改变反应物O2、O3、N2O、NO、NO2、NH3,同时保持(t-C4H9NH)2SiH2的恒定流量,可以连续淀积含有范围从氮化硅至二氧化硅的介电质叠层硅。此类膜适合于在半导体和相关的工业中使用。

Description

使用二(叔丁基氨基)硅烷淀积二氧化硅和氧氮化硅
本发明涉及领域为使用一种新型有机硅源材料,即二(叔丁基氨基)硅烷进行二氧化硅和氧氮化硅膜的化学蒸汽淀积。本发明使利用同样的有机硅源、温度和压力,只是改变反应气体就能淀积出不同的介电材料。
在制造半导体器件时,化学惰性的介电材料,象二氧化硅、氧氮化硅和氮化硅膜的钝性薄层是很重要的。这些介电膜薄层的功能是作为扩散遮盖层、氧化屏蔽层、具有高介电击穿电压的金属间介电材料和作为钝化层。
对于半导体工业的标准二氧化硅和氧氮化硅生长方法是在高于400℃的热壁上进行低压化学蒸汽淀积。
使用硅烷和氧在400℃以上,通过在800℃以上用二氯硅烷和N2O,和用四乙氧基硅烷在650℃以上,在大量硅晶片上进行二氧化硅淀积。使用二氯硅烷、N2O和NH3在750℃以上,得到氧氮化硅的淀积物。见葛雷E.麦高列(Gary E.McGuire)编的《半导体和加工技术手册》(Semiconductor and Process technology handbook)新泽西,诺叶斯(Noyes)出版社(1988)289至301页;和沃尔夫(Wolf)、斯塔里(Stanley)和塔白特(Talbert)、里查德(Richard)N.著的《对于甚大规模集成ERA的硅加工》(Silicon Processing for VLSI ERA),拉的斯(Lattice)出版社,加里福尼亚,日落滩(Sunset Beach),(1990),20至22页,327至330页。
一般使用更高的温度以得到最好的薄膜性能。在这些方法中有许多缺点,其中一些缺点如下:
i)硅烷和二氯硅烷是能自然而有毒的压缩气体;ii)带有二氯硅烷的氧化物淀积物需要很高的温度,而且具有很低的淀积速度。该薄膜可能含有氯,有明显的粒子污染问题;iii)使用硅烷形成的薄膜是疏松的且具有潮解性。该方法需要昂贵的“隔离舟皿”,以得到均匀可用的淀积薄膜。氧/硅烷比例上的小偏差可以产生会导致明显粒子污染的均相反应。
A.K.霍赫贝格(Hochberg)和D.L.奥梅雷(O′Meara)在《材料研究学会讨论纪要》《Mat.Res.Soc.Symp.Proc》204卷(1991)509-514页报道了使用二乙基硅烷与氨和N2O通过低压化学蒸汽淀积法进行氮化硅和氧氮化硅的淀积。在650-700℃的温度下进行此淀积。在高于650℃的温度下得到可用的淀积速度,在更低的温度下则淀积速度下降到4埃/分以下。在低压化学蒸汽淀积方法中,含有直接Si-C碳键的前体在薄膜中导致碳污染。无碳淀积需要大于5∶1的氨与前体之比。在氨浓度较低的情况下,发现薄膜含有碳。二乙基硅烷+氨的方法一般须要加盖舟皿以改进晶片材料均匀性。
日本专利6-132284描述了在氨或氮存在下,用具有通式(R1R2N)nSiH4-n(其中R1和R2范围为H-、CH3、C2H5-、C3H7-、C4H9-)的有机硅烷,通过等离子体增强化学蒸汽淀积和热化学蒸汽淀积的方法淀积氮化硅。这里所述的前体是叔胺,而且不含有象本发明情况下的NH键。在400℃的温度和80-100乇的压力下,在单晶片反应器中进行淀积实验。在这些膜中的Si∶N比是0.9(在Si3N4膜中的Si∶N比是0.75),而且在淀积的膜中含有氢。丁基自由基是在异丁基的形式下。
1997年10月2日申请的美国专利申请SN 08/942,996公开了使用氨和通式为(t-C4H9NH)2SiH2的硅烷在基底上进行低压化学蒸汽淀积的一种方法。
美国专利5,234,869和R.G.高登(Gordon)以及D.M.霍夫曼(Hoffman)在《化学材料》(Chem.Mater.)第2卷(1990),482-484页公开了另一种尝试,来减少涉及氨基硅烷,比如四(二甲基氨基)硅烷的碳的数量。在1毫乇至10乇的压力下,淀积温度范围为300-1000℃。由于直接Si-N键的存在和Si-C键的不存在预期在膜中具有较低的碳浓度。然而,这类前体具有三个主要的缺点。
1)它们含有N-甲基,甲基倾向于容易迁移到硅的表面,在化学蒸汽淀积的过程中以碳污染膜。为了降低碳量,该方法涉及高温(高于700℃)和高氨比(大于10∶1)。随着氨比的增加,由于反应物的耗尽,淀积速度急剧下降。
2)它们不含有NH键,也不涉及仲硅烷。
3)在较低的温度下,淀积速度和均匀性都很差(>5%)。
已有技术试图在高于550℃的温度下,以高淀积速度和低的氢、碳污染制造二氧化硅和氧氮化硅。然而,已有技术并没有成功地用一种硅前体同时实现这些目标。本发明解决了已有技术的这些问题,使用单一的前体形成二氧化硅和氧氮化硅(以及氮化硅),这样就避免了等离子态淀积的问题,在低热条件下操作(<600℃),避免了Si-C键,以减少所得到膜的碳污染,具有较低的氢污染,以及避免了氯污染,而且在低压(20毫乇至2乇)直至大气压下,在成批的制造炉中(每批100片或更多)或单晶片反应器中操作,下面将更详细地描述。
本发明是一种在基底上淀积选自由二氧化硅和氧氮化硅所组成的组的含氧硅化合物膜的方法,该方法通过在高温下让二(叔丁基氨基)硅烷,当所述硅化合物是二氧化硅时与选自由氧、臭氧和其混合物所组成的组的反应气体反应,或者当所述硅化合物是氧氮化硅时与选自由氧化氮、氨和其混合物所组成的组的反应气体反应来进行。
为了形成二氧化硅膜,让二(叔丁基氨基)硅烷和氧或臭氧在反应管筒中,能在高温下,优选500-800℃的温度下进行反应。为了形成氧氮化硅膜,让二(叔丁基氨基)硅烷和N4O、NO2或NO在氨存在或不存在下,在反应管筒中,能在高温下,优选500-800℃的温度下进行反应。为了得到所需O/N比的膜的成分通过改变如实验章节所述的混合反应气体的比例很容易实现。
压力优选大约是在20毫乇至1大气压范围。
反应气体O2、O3、N2O、NO、NO2、NH3或混合气体与二(叔丁基氨基)硅烷的摩尔比优选大于大约1∶1。
基底优选是硅。
基底优选是一电子器件。
基底也优选是一平板显示器。
在一优选的实施例中,本发明是在反应区进行化学蒸汽淀积二氧化硅,包括如下步骤:
a)在该区内将基底加热至大约500-800℃的温度范围;
b)在反应区内保持基底在大约20毫乇至1大气压的压力下;
c)向反应区引入反应气体氧和通式为(t-C4H9NH)2SiH2的硅烷,使反应气体与硅烷反应;以及
d)保持a)至c)的条件,使得足以引起二氧化硅膜淀积到该基底上。
在另一个实施例中,本发明是在反应区进行化学蒸汽淀积氧氮化硅,包括如下步骤:
a)在该区内将基底加热至大约500-800℃的温度范围;
b)在该区内保持基底在大约20毫乇至1大气压的范围压力下;
c)向反应区引入选自由N2O、NO、NO2和其混合物组成的组的反应气体、氨和通式为(t-C4H9NH)2SiH2的硅烷,使反应气体与硅烷反应;以及
d)保持a)至c)的条件,使得足以引起氧氮化硅膜淀积到该基底上。
在又一个实施例中,本发明是一种在一反应区内在一基底上化学蒸汽淀积选自由二氧化硅、氧氮化硅和氮化硅所组成的组的一叠层硅化合物的方法,该方法包括如下步骤:
a)在该区内将基底加热至大约500-800℃的温度范围;
b)在该区内保持基底在大约20毫乇至1大气压范围的压力下;
c)向该区引入通式为(t-C4H9NH)2SiH2的硅烷;
d)向该反应区引入不同量反应气体选自由O2、O3、N2O、NO、NO2、NH3和其混合物组成的组,它们适合于进行多叠层淀积含有硅和一个或多个氧、氮和其混合物的硅化合物膜,其中每个叠层可以具有不同量的氧、氮和其混合物,然后使反应气体与硅烷反应;以及
e)保持a)至c)的条件,使得足以引起硅化合物膜的多叠层淀积到该基底上。
图1是使用二(叔丁基氨基)硅烷和氧时,二氧化硅的淀积速度和温度的关系图。
图2是表明改变N2O对NH3的比例影响氧氮化硅的FTIR谱图。
图3是折光指数作为反应气体NH3和NO2以及O2的函数的变化图。
在制造甚大规模集成(VLSI)器件时使用许多种类的“薄膜”。这些淀积薄膜可以是金属的、半导体的或者是绝缘体的。这些薄膜可以是热生长的,或者是使用低压化学蒸汽淀积(LPCVD)从蒸汽相淀积的。对于在微处理器和随机存取存储器件制造的许多应用中,甚大规模集成技术需要很薄的绝缘体。优先使用二氧化硅作为介电材料,因为它容易淀积在SiO2/Si界面上和具有优异的性能。与二氧化硅相比,氮化硅具有另一些优点,其中某些优点包括抗扩散位阻的杂质和搀杂剂、高介电击穿电压、优异的机械性能和Si3N4的固有惰性。在淀积氮化硅之前淀积一薄层氧氮化硅,或者用氧氮化硅取代氮化硅可以降低金属氮氧化物和半导体(MNOS)器件的电荷转移。
在甚大规模集成制造中,要满足一系列严格的化学的、结构的、工艺的和电学的要求。薄膜的纯度、厚度、均匀度和淀积速度是一些须要严格控制的参数,以便制造出亚微米级特性的器件。如果能够在低于850℃的温度下进行淀积工艺,在制造方法和器件性能方面都有许多优点。在低于600°的同样温度下,用于淀积不同氧和氮浓度的一叠介电质,使之在大晶片表面和批量大晶片具有均匀可用膜均匀的硅源材料还未见报道。一种安全、可靠的低温介电材料源在其它技术中具有应用,比如平面显示器件、其它的电子和非电子基底或化合物半导体器件制造中。
本发明涉及在同样意外低的温度下,以二(叔丁基氨基)硅烷作为一类氨基硅烷淀积具有优异均匀度的二氧化硅和氧氮化硅。
二(叔丁基氨基)硅烷(BTBAS)具有如下的通式:
(t-C4H9NH)2Si(H)2
淀积膜具有优异的均匀度,而且不含有氯化铵和氯污染。含有配位体的类似氨基硅烷如正丁胺和四(二乙基氨基)硅烷,在如此低的温度下通过低压化学蒸汽淀积方法不能淀积出无碳的膜,或者反之膜的均匀度极差。
二(叔丁基氨基)硅烷的明显的优点可以认为来自二(叔丁基氨基)硅烷中叔丁基胺配位体的固有性能。在二(叔丁基氨基)硅烷裂解的过程中,可以很容易以亚异丁基的形式除去叔丁基胺配位体。亚异丁基是很稳定的,容易离去的基团,因此在淀积的过程中不会造成污染膜。与二烷基氨基硅烷相比,叔丁基氨基基团比二烷基胺的碱性大,因为在叔丁基氨基基团中存在氮-氢键。N-H键的存在,可以使活泼的β-氢化物容易转化,造成二氨基硅烷和将叔丁基裂解为亚异丁基。
二(叔丁基氨基)硅烷的其它优点可以概括如下:
1)它是在40-45℃下汽压为705乇的挥发性非自燃稳定液体。
2)它在前体中没有任何氯。二氯硅烷中的Si-Cl键会在氮化硅的淀积过程中导致形成氯化铵,它淀积在管筒的背端,须要经常清洗。
3)前体不含有直接的Si-C键,得到的二氧化硅和氧氮化硅膜具有很低的碳含量。
4)叔丁基氨基配位体表现为很好的离去基团,形成亚异丁基,在裂解过程中很容易除去。据认为这部分是因为该化合物具有N-H键。这个额外的优点有助于很干净地除去所有的碳,而不会污染淀积的膜。
5)二(叔丁基氨基)硅烷中的空间位阻有助于增大该分子在基底表面上的迁移性,这就导致较高的均匀度。
二(叔丁基氨基)硅烷化合物比单-、三-或四(叔丁基氨基)硅烷同系物更有用,因为单同系物不稳定,三取代同系物具有明显的运输问题,而四(叔丁基氨基)硅烷同系物具有低得多的汽压,由于配位体在单个硅原子上的空间位阻而不容易合成,因此,对于工业上的使用是不适当的。与其它的烷基氨基硅烷相比,上面讨论的N-H键性能是一附加优点。
为了形成二氧化硅膜,让二(叔丁基氨基)硅烷与O2、O3或混合物在反应器管筒中,在高温下,优选500-800℃下进行反应。为了形成氧氮化硅膜,让二(叔丁基氨基)硅烷与N2O、NO2或NO加上NH3在反应器管筒中,在高温下,优选500-800℃下进行反应。可以通过按照所需改变反应气体的比例来改变氧氮化硅中的O/N比。令人意外的是,在这些低温度下在二(叔丁基氨基)硅烷中加入NO或N2O不形成二氧化硅,这和使用二氯硅烷和N2O正好相反。
对于含有最终淀积膜叠的每层来说,仅仅通过改变与二(叔丁基氨基)硅烷反应的反应气体O2、O3、N2O、NO、NO2、NH3和混合物的浓度,就可以淀积出硅化合物膜的叠层,其中每层都具有可能不同的逐层变化的氧和氮的浓度,从对于特定的一层或几层以二氧化硅形式存在的全部硅和氧,经过对于特定的一层或几层以氧氮化硅形式存在的各种浓度的硅、氧和氮,直至对于特定的一层或几层以氮化硅形式存在的硅和氮。
化学蒸汽淀积可以分为几类:a)气相方法和b)表面反应方法。气相现象是气体冲击到基底上的速度。这用气体穿过把流动的气体的主区和基底表面分开的边界层的速度来模拟。这样的转移过程通过气相扩散来实现,气相扩散正比于气体的扩散度和通过边界层的浓度梯度。当气体到达热的表面时,有几种表面过程可能是重要的,但是表面反应一般可以用热活化现象来模拟,而热活化进行的速度和频率因子、活化能和温度都有关。
反应可以在表面上进行,也可以很接近晶片表面进行,按照需要淀积出一个二氧化硅或氧氮化硅的薄膜。如果反应在气相中进行(均相反应),那么就形成二氧化硅或氧氮化硅的蔟状物。当反应很接近晶片表面进行时,得到的膜就具有优异的均匀度。因此,对于化学蒸汽淀积的一个重要的要求就是非均相反应相对于气相反应的有利程度。
随着温度升高,表面反应的速度增大。对于一定的表面反应,温度可以升到足够的高,使得反应速度超过反应剂粒子到达表面的速度。在这种情况下,反应不能比靠传质将反应气体提供给基底的速度更快的速度来进行。这就看作是一传质限制淀积过程。在较低的温度下,表面反应速度降低,最终反应剂的浓度超过其通过表面反应过程消耗的速度。在上述条件下淀积速度是反应速度限定的。因此在高温下,淀积一般是传质限定的,而在较低温度下,它是表面反应速度限定的。在实际过程中,淀积条件从一种生长模式向另一种生长模式移动的温度取决于反应的活化能和在反应器中的气体流动条件。因而,难于外推工艺条件或由一种压力模式或温度模式得到另一种压力或温度模式。
在反应速度限定的条件下进行的方法中,过程的温度是一重要参数。这就是说,整个反应器内的均一的淀积速度须要保持恒定的反应速度的条件。进而,这意味着在全部晶片表面上各处必须存在着恒定的温度。另一方面,在这样的条件下,反应剂到达表面的速度并不重要,因为它们的浓度并不限制生长速度。因此,反应器设计得要将相等的反应剂流量供应到晶片表面的所有部位就不那么重要。应该理解,在低压化学蒸汽淀积反应器中,晶片可以垂直地叠放和具有很紧的间距,因为这样的系统是以反应速度限定的模式操作的。其原因如下:在低压化学蒸汽淀积反应器中~1乇的低压力下,由于1000的因子超过在大气压下的因子,增大了气体粒子的扩散度,而且这仅仅部分地被边界层,即反应剂必须扩散穿过的距离随着压力平方根的减小而增大这一事实所补偿。最终的净效果是,在反应剂转移到基底表面,或副产物从基底转移出的方面,有大于一个数量级的增加,因而速度限定步骤就是表面反应。
在二(叔丁基氨基)硅烷中有叔丁基基团存在明显地有助于表面反应途径,因此与其它方法相比,甚至在低温下,此淀积膜具有优异的均匀度。使用低压化学蒸汽淀积热壁反应器淀积这类膜的方法描述如下:
低压化学蒸汽淀积方法(LPCVD)涉及可以在20毫乇至2乇范围进行的化学反应。化学蒸汽淀积(CVD)方法可以描述为在给定的温度、压力和反应剂比例的如下次序的步骤:
1)向反应室引入反应剂,如果须要可以用惰性气体稀释;
2)让反应剂能扩散到基底上;
3)基底上吸附反应剂,被吸附的分子发生迁移;以及
4)在表面上发生化学反应,反应的气态副产物被解吸附,离开淀积膜。用几种方法引发反应,比如热或光子。在低压化学蒸汽淀积方法中使用热能。
水平管式热壁反应器在大规模集成制造中最广泛用于低压化学蒸汽淀积。它们被用于淀积聚合硅、氮化硅以及未搀杂和搀杂的二氧化硅膜。这类反应器被广泛使用,因为它们经济、具有高的产出能力,其淀积膜均匀,而且可以适应大直径(6-12”)的晶片。其主要缺点是在直径大于150毫米的晶片上热均匀性差,而且难于自动化。
垂直流动等温低压化学蒸汽淀积反应器也可以用于二氧化硅的淀积。这类反应器比水平反应器容易自动化,而且在大直径晶片的表面产生更均匀的温度。
为了在反应器中造成低压条件,需要适当的真空系统。对于本实验,真空系统由一组合旋转叶片泵/螺旋式鼓风机和各种冷阱组成。使用电容测压计反馈给节流阀控制器来控制反应器的压力。反应器内的装置物由80个直径100毫米的硅晶片,在标准扩散舟皿中的间隔为9毫米。这些扩散舟皿被放置在一滑轨上,使得晶片的中心稍微位于反应管筒中心的上方。这样通过补偿由于扩散舟皿和滑轨引起的传导率限制,形成在晶片周围均匀的传导率。使用内部多接点热电偶测量在整个晶片上的温度均匀度数据为±1℃。通过温度倾斜改进向晶片装载物下方的淀积均匀度。
我们的淀积实验在水平管式反应器中进行,不过即使在垂直管式反应器使用此前体,淀积也将进行。该前体是经过靠近装载门的开口送入的。反应气体O2或O3(用于二氧化硅)或N2O、NO、NO2和NH3(用于氧氮化硅)也从靠近炉门处的入口单独地送入。
本发明用实验说明一种方法,使用二(叔丁基氨基)硅烷前体,在硅晶片上淀积基本纯净的二氧化硅和氧氮化硅薄膜。二(叔丁基氨基)硅烷是一种挥发性非自燃液体,对其处理比硅烷和二氯硅烷更安全。淀积在低于大气压的压力,优选20毫乇至2乇,在高温下,优选500-800℃的温度范围下进行,使用从二(叔丁基氨基)硅烷得到的蒸汽和反应气体O2或O3(对于二氧化硅)或N2O、NO或NO2和NH3(对于氧氮化硅)。可以任选地使用惰性气体稀释剂如氮或氩来稀释和控制反应速度。如上所述的反应气体与二(叔丁基氨基)硅烷的摩尔进料比优选为1∶1。
                           实例1
本发明涉及在250毫乇反应器压力下二(叔丁基氨基)硅烷与氧的反应。在入口处将该前体和氧引入加热的(550-625℃)反应器中。反应物穿过晶片进入真空室中。保持氧对硅源的比例为1.6∶1-2∶1。在硅晶片的表面上就淀积了二氧化硅的连续膜。这类膜适合于集成电路的制造。一个典型的实验在150毫米热壁低压化学蒸汽淀积水平管式反应器中进行,虽然该设备的形状并不关键。本发明涉及将75-100片硅晶片装入石英反应器、给系统抽真空、让晶片达到淀积将要发生的温度。可以通过简单的电阻加热提供反应所需的能量。然而,简单的电阻加热是有利的,因为该设备价格低廉,避免了经常与等离子态反应器相关的辐射膜损害。
用红外光谱和折光指数来表征该膜。FT-红外光谱与热生长二氧化硅以及使用其他已知氧化物前体如二氯硅烷+N2O、四乙氧基硅烷和二乙基硅烷淀积的二氧化硅膜是一致的。在靠近1050厘米-1处有一些强的Si-O-Si非对称展宽带,在靠近810厘米-1处有一较弱的Si-O-Si对称展宽。通过在632.4纳米处的椭圆对称来测量这类膜的折光指数,对于这类膜,折光指数范围为1.46-1.50。没有与碳相关的带。图1表明二氧化硅淀积速度与温度的依存关系。二(叔丁基氨基)硅烷以55标准厘米3/分(sccm)的流速流动,而氧则以90和120sccm的流速流动。对于不同的氧流动,在膜淀积速度、折光指数或与吸收带没有明显的差别。这表明,该方法是很稳定的,即稍微改变变量时没有变化。
                          实例2
本方法涉及在600℃和500毫乇的反应器压力下,二(叔丁基氨基)硅烷与N2O和NH3的反应。如实例1将前体和反应气体引入加热的反应器。使用60sccm的二(叔丁基氨基)硅烷与变量的N2O和NH3,膜性能从氮化硅到各种氧氮化硅可以有所变化。这表现在图2校正后的FT-红外光谱中。这里的百分数是在N2O和NH3混合物中N2O的百分比(总体积流量为200sccm)。平均淀积速度为20-29埃/分,在N2O百分比越高时速度也越大。在图3中,显示了改变反应物的全部影响。对于这类淀积,温度和压力分别保持在600℃和500毫乇。而膜的折光指数则从接近2.0(氮化硅)至1.46(二氧化硅)。这表明,在单一的反应器中,在固定的温度和压力下可以淀积出二氧化硅、氮化硅和氧氮化硅的介电叠层。
二(叔丁基氨基)硅烷在淀积二氧化硅或氧氮化硅或氮化硅都显示出具有优异的特性和性能。使用具有N-H键的化学品,即二(叔丁基氨基)硅烷得到高的淀积速度。使用不含有直接Si-C键的化学品,即二(叔丁基氨基)硅烷得到含有最低碳杂质的淀积膜。使用具有叔丁基基团的化学品,即二(叔丁基氨基)硅烷得到最均匀的淀积。按照这一判据,二(叔丁基氨基)硅烷是一种意外优异的二氧化硅和氧氮化硅前体,以及氮化硅前体。
按照优选的实施例描述了本发明,然而本发明的整个范围应由下面的权利要求来确定。

Claims (8)

1.一种在基底上淀积选自由二氧化硅和氧氮化硅所组成的组的含氧硅化合物膜的方法,该方法通过在基底温度为500-800℃和20毫托至1大气压下让二(叔丁基氨基)硅烷,当所述硅化合物是二氧化硅时与选自由氧、臭氧和其混合物所组成的组的反应气体反应,或者当所述硅化合物是氧氮化硅时与选自由氧化氮、氨和其混合物所组成的组的反应气体反应来进行。
2.按权利要求1所述的方法,其特征在于,反应气体与硅烷的摩尔比大于1∶1。
3.按权利要求1所述的方法,其特征在于,基底是硅。
4.按权利要求1所述的方法,其特征在于,基底是一电子器件。
5.按权利要求1所述的方法,其特征在于,基底是一平板显示器。
6.一种用于在一反应区内在一基底上化学蒸汽淀积二氧化硅的方法,该方法包括如下的步骤:
a)在所述反应区内将所述基底加热至500-800℃的温度范围;
b)在所述反应区内保持基底在20毫托至1大气压的压力下;
c)向所述反应区引入反应气体氧和通式为(t-C4H9NH)2SiH2的硅烷,使所述反应气体与所述硅烷反应;以及
d)保持a)至c)的条件,使得足以引起二氧化硅膜淀积到该基底上。
7.一种用于在一反应区在一基底上化学蒸汽淀积氧氮化硅的方法,该方法包括如下步骤
a)在所述反应区内将所述基底加热至500-800℃的温度范围;
b)在所述反应区内保持所述基底在20毫托至1大气压的压力下;
c)向所述反应区引入选自由N2O、NO、NO2和其混合物所组成的组的反应气体、氨和通式为(t-C4H9NH)2SiH2的硅烷,并使所述反应气体与所述硅烷反应;以及
d)保持a)至c)的条件,使得足以引起氧氮化硅膜淀积到该基底上。
8.一种在一反应区内在一基底上化学蒸汽淀积选自由二氧化硅、氧氮化硅和氮化硅所组成的组的一叠层硅化合物的方法,该方法包括如下步骤:
a)在所述反应区内将所述基底加热至500-800℃的温度范围;
b)在所述反应区内保持该基底在20毫托至1大气压的压力下;
c)向所述反应区引入通式为(t-C4H9NH)2SiH2的硅烷;
d)向所述反应区引入不同量选自O2、O3、N2O、NO、NO2、NH3和其混合物,适合于进行多叠层淀积含有硅和一个或多个氧、氮和其混合物的硅化合物膜,其中每个叠层可以具有不同量的氧、氮和其混合物,然后使所述反应气体与所述硅烷反应;以及
e)保持a)至c)的条件,使得足以引起所述硅化合物膜的所述多叠层淀积到所述基底上。
CNB991084594A 1998-06-11 1999-06-11 使用二(叔丁基氨基)硅烷淀积二氧化硅和氧氮化硅 Expired - Lifetime CN1138872C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/095,818 US5976991A (en) 1998-06-11 1998-06-11 Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US09/095,818 1998-06-11
US09/095818 1998-06-11

Publications (2)

Publication Number Publication Date
CN1239155A CN1239155A (zh) 1999-12-22
CN1138872C true CN1138872C (zh) 2004-02-18

Family

ID=22253723

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB991084594A Expired - Lifetime CN1138872C (zh) 1998-06-11 1999-06-11 使用二(叔丁基氨基)硅烷淀积二氧化硅和氧氮化硅

Country Status (9)

Country Link
US (1) US5976991A (zh)
EP (1) EP0964441B1 (zh)
JP (1) JP3055123B2 (zh)
KR (1) KR100323628B1 (zh)
CN (1) CN1138872C (zh)
DE (1) DE69901367T2 (zh)
IL (1) IL130310A (zh)
SG (1) SG71928A1 (zh)
TW (1) TW498109B (zh)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6235650B1 (en) * 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
US6063666A (en) * 1998-06-16 2000-05-16 Advanced Micro Devices, Inc. RTCVD oxide and N2 O anneal for top oxide of ONO film
TW476656B (en) 1998-09-17 2002-02-21 Ishigaki Co Ltd Continuous squeeze-dewatering device
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6462371B1 (en) * 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6303520B1 (en) * 1998-12-15 2001-10-16 Mattson Technology, Inc. Silicon oxynitride film
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
US6515350B1 (en) * 2000-02-22 2003-02-04 Micron Technology, Inc. Protective conformal silicon nitride films and spacers
US6518626B1 (en) * 2000-02-22 2003-02-11 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants
US6429149B1 (en) 2000-02-23 2002-08-06 International Business Machines Corporation Low temperature LPCVD PSG/BPSG process
US6486015B1 (en) * 2000-04-25 2002-11-26 Infineon Technologies Ag Low temperature carbon rich oxy-nitride for improved RIE selectivity
CN100442454C (zh) * 2000-09-19 2008-12-10 马特森技术公司 形成介电薄膜的方法
US6534388B1 (en) * 2000-09-27 2003-03-18 Chartered Semiconductor Manufacturing Ltd. Method to reduce variation in LDD series resistance
EP1199743A3 (en) * 2000-10-17 2004-06-30 Texas Instruments Deutschland Gmbh Method of fabricating a stack consisting of a layer of Si3N4 topped by a layer of SiO2 on a semiconductor substrate
DE10051382A1 (de) * 2000-10-17 2002-05-02 Texas Instruments Deutschland Verfahren zum Herstellen eines Stapels aus einer Si¶3¶N¶4¶-Schicht und einer darüberliegenden Si0¶2¶-Schicht auf einem Halbleitersubstrat
US6500772B2 (en) * 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US7084080B2 (en) * 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US20020182342A1 (en) * 2001-04-13 2002-12-05 Luc Ouellet Optical quality silica films
JP4897159B2 (ja) * 2001-08-03 2012-03-14 株式会社日立国際電気 半導体装置の製造方法
US6670255B2 (en) * 2001-09-27 2003-12-30 International Business Machines Corporation Method of fabricating lateral diodes and bipolar transistors
JP4021653B2 (ja) * 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
CN1643674A (zh) * 2002-07-19 2005-07-20 阿维扎技术公司 使用氨基硅烷和臭氧的低温介电沉积
US20060178019A1 (en) * 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
TW200424343A (en) * 2002-09-05 2004-11-16 Asml Us Inc Low temperature deposition of silicon based thin films by single-wafer hot-wall rapid thermal chemical vapor deposition
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP2004153066A (ja) 2002-10-31 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
US6963006B2 (en) * 2003-01-15 2005-11-08 Air Products And Chemicals, Inc. Process for the production and purification of bis(tertiary-butylamino)silane
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4954448B2 (ja) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US7163860B1 (en) 2003-05-06 2007-01-16 Spansion Llc Method of formation of gate stack spacer and charge storage materials having reduced hydrogen content in charge trapping dielectric flash memory device
JP3811697B2 (ja) * 2003-11-19 2006-08-23 松下電器産業株式会社 半導体装置の製造方法
JP4187252B2 (ja) 2004-01-06 2008-11-26 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
US7049200B2 (en) * 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US20060062913A1 (en) * 2004-09-17 2006-03-23 Yun-Ren Wang Process for depositing btbas-based silicon nitride films
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7248758B2 (en) * 2005-06-08 2007-07-24 Verizon Business Global Llc Hitless tunable filter
JP2006351694A (ja) * 2005-06-14 2006-12-28 Fujitsu Ltd 半導体装置およびその製造方法
US20070154637A1 (en) * 2005-12-19 2007-07-05 Rohm And Haas Electronic Materials Llc Organometallic composition
JP5524443B2 (ja) * 2006-03-24 2014-06-18 ピーエスフォー ルクスコ エスエイアールエル 半導体装置及びその製造方法
US20070262399A1 (en) * 2006-05-10 2007-11-15 Gilbert Dewey Sealing spacer to reduce or eliminate lateral oxidation of a high-k gate dielectric
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US8318966B2 (en) * 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
US7956207B2 (en) * 2006-09-28 2011-06-07 Praxair Technology, Inc. Heteroleptic organometallic compounds
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
US20080145978A1 (en) * 2006-12-18 2008-06-19 Air Liquide Electronics U.S. Lp Deposition of silicon germanium nitrogen precursors for strain engineering
US7750173B2 (en) * 2007-01-18 2010-07-06 Advanced Technology Materials, Inc. Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta205 thin films
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP2008283051A (ja) * 2007-05-11 2008-11-20 Toshiba Corp 半導体記憶装置及び半導体記憶装置の製造方法
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US8058138B2 (en) * 2008-07-17 2011-11-15 Micron Technology, Inc. Gap processing
US8148249B2 (en) * 2008-09-12 2012-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating high-k metal gate devices
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
US9012876B2 (en) 2010-03-26 2015-04-21 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US9190609B2 (en) 2010-05-21 2015-11-17 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
CN102011105B (zh) * 2010-10-12 2014-06-04 上海宏力半导体制造有限公司 低压淀积氧化硅工艺方法
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
EP2761054B1 (en) * 2011-09-30 2019-08-14 Arkema, Inc. Deposition of silicon oxide by atmospheric pressure chemical vapor deposition
US9640757B2 (en) 2012-10-30 2017-05-02 Entegris, Inc. Double self-aligned phase change memory device structure
CN104498895B (zh) * 2014-12-23 2017-02-22 国家纳米科学中心 一种超薄氮氧化硅膜材料及其制备方法和用途
KR20190114874A (ko) 2018-03-30 2019-10-10 에스케이트리켐 주식회사 실리콘 박막 형성용 전구체 및 이를 이용한 실리콘 함유 박막 형성 방법 및 상기 실리콘 함유 박막을 포함하는 반도체 소자.
CN110459462B (zh) * 2019-08-08 2022-02-15 武汉新芯集成电路制造有限公司 半导体器件的形成方法
KR20210041809A (ko) 2019-10-08 2021-04-16 에스케이트리켐 주식회사 실리콘 박막 형성용 전구체 및 이를 이용한 실리콘 박막 형성 방법 및 상기 실리콘 박막을 포함하는 반도체 소자.
CN111816556B (zh) * 2020-06-03 2024-01-23 中国科学院微电子研究所 晶体管及制备方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
JP2637265B2 (ja) * 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
JPH04151839A (ja) * 1990-10-16 1992-05-25 Kawasaki Steel Corp シリコンオキシナイトライド膜の製造方法
US5459108A (en) * 1992-10-06 1995-10-17 Sharp Kabushiki Kaisha Normal pressure CVD process for manufacture of a semiconductor device through reaction of a nitrogen containing organic source with ozone
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane

Also Published As

Publication number Publication date
EP0964441A3 (en) 2000-03-15
EP0964441A2 (en) 1999-12-15
DE69901367D1 (de) 2002-06-06
DE69901367T2 (de) 2002-08-29
IL130310A (en) 2003-01-12
KR20000005967A (ko) 2000-01-25
KR100323628B1 (ko) 2002-02-07
IL130310A0 (en) 2000-06-01
SG71928A1 (en) 2000-04-18
CN1239155A (zh) 1999-12-22
US5976991A (en) 1999-11-02
EP0964441B1 (en) 2002-05-02
JP2000077403A (ja) 2000-03-14
TW498109B (en) 2002-08-11
JP3055123B2 (ja) 2000-06-26

Similar Documents

Publication Publication Date Title
CN1138872C (zh) 使用二(叔丁基氨基)硅烷淀积二氧化硅和氧氮化硅
US7288145B2 (en) Precursors for depositing silicon containing films
JP2962417B2 (ja) ビス(t−ブチルアミノ)シランからの窒化珪素の化学気相成長法
CN101228292B (zh) 氮化硅的化学气相沉积方法
EP0387403B1 (en) Deposition of silicon oxide films using alkylsilane liquid sources
US4992306A (en) Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US9102693B2 (en) Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US7786320B2 (en) Composition and method for low temperature deposition of silicon-containing films such as films including silicon, silicon nitride, silicon dioxide and/or silicon-oxynitride
CN1868041A (zh) 氧化硅和氧氮化硅的低温沉积
CN101061255A (zh) 低温SiN沉积方法
CN101648964A (zh) 用于沉积含硅薄膜的前体及其制备和使用方法
WO2005080628A2 (en) Method for producing silicon nitride films and silicon oxynitride films by chemical vapor deposition
CN101078109A (zh) 从有机氨基硅烷前体制备氧化硅薄膜的方法
US6465044B1 (en) Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone
CN1940132A (zh) 采用pecvd由氨基硅烷制备氮化硅
TWI246719B (en) Low temperature deposition of silicon nitride

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20170621

Address after: Arizona, USA

Patentee after: Versum Materials US, LLC

Address before: American Pennsylvania

Patentee before: Air Products and Chemicals, Inc.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20040218