CN111341644A - 多层结构的制备方法 - Google Patents

多层结构的制备方法 Download PDF

Info

Publication number
CN111341644A
CN111341644A CN201910773534.0A CN201910773534A CN111341644A CN 111341644 A CN111341644 A CN 111341644A CN 201910773534 A CN201910773534 A CN 201910773534A CN 111341644 A CN111341644 A CN 111341644A
Authority
CN
China
Prior art keywords
reactant
layer
metal precursor
reactor
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910773534.0A
Other languages
English (en)
Inventor
周良宾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanya Technology Corp
Original Assignee
Nanya Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanya Technology Corp filed Critical Nanya Technology Corp
Publication of CN111341644A publication Critical patent/CN111341644A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1868Passivation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本公开提供一种多层结构的制备方法,包括下列步骤。具有一图案层的一基底位于一反应器中。一金属前驱物被引入反应器中,其中金属前驱物被图案层所吸收。通过抽出过量的金属前驱物,以从反应器清除过量的金属前驱物。一反应物被引入反应器中,其中反应物与金属前驱物相互反应以在图案层上形成一含金属层。

Description

多层结构的制备方法
技术领域
本公开主张2018/12/19申请的美国临时申请案第62/782,049号及2019/03/28申请的美国正式申请案第16/368,106号的优先权及益处,该美国临时申请案及该美国正式申请案的内容以全文引用的方式并入本文中。
背景技术
在半导体及光伏产业中,二氧化硅为已知用来当作钝化材料(passivationmaterial),而钝化材料导致在表面重组中的明显减少。可在温度900℃中以湿式热氧化法(wet thermal oxidation)或者在氧气环境下温度850℃到1000℃之间以干式氧化法显影一高品质的二氧化硅层。然而,如此高温通常不适合光伏装置的制造。因此,发展出其他方法,例如以化学气相沉积法(CVD)从TEOS结合氧所显影出二氧化硅。而化学气相沉积法的一些缺点在控制层厚度是困难的,且其结果缺乏膜的高均匀性(film homogeneity)。而其他的缺点则是化学气相沉积法的二氧化硅的相对缺乏的钝化。针对这些理由,对于二氧化硅沉积而言,原子层沉积法(ALD)为一优选方法,其允许高均匀性层的沉积,且同时显现良好的钝化特性(good passivation properties)。
虽然二氧化硅具有钝化能力,但现在正在考虑氧化铝的钝化(Al2O3passivation)。类似于二氧化硅层,氧化铝的最新研究是证明在沉积期间,自然地以氢(hygrogen)充实氧化铝层。氧化铝是包含氢的一合理的层,也因此不需完全地将氢(H2)加到氮(N2)中。
上文的“现有技术”说明仅提供背景技术,并未承认上文的“现有技术”说明公开本揭露的标的,不构成本公开的现有技术,且上文的“现有技术”的任何说明均不应作为本公开的任一部分。
发明内容
本公开的一实施例提供一种多层结构的制备方法,包括在一反应器中沉积一基底,该基底具有一图案层;将一金属前驱物引入该反应器中,其中该金属前驱物被该图案层吸收;通过抽出一过量金属前驱物,以将该过量金属前驱物从该反应器清除;以及将一反应物引入该反应器中,其中该反应物与该金属前驱物相互反应,以在该图案层上形成一含金属层。
依据本公开的一些实施例,该制备方法还包括重复该金属前驱物引入步骤、该过量金属前驱物清除步骤,以及该反应物引入步骤,直至该多层结构具有一期望厚度。
依据本公开的一些实施例,在该反应物引入步骤中被引入的该反应物,与每一次重复的该反应物引入步骤的反应物相同。
依据本公开的一些实施例,在该反应物引入步骤中被引入的该反应物,与不同的重复的该反应物引入步骤的反应物不同。
依据本公开的一些实施例,该金属前驱物包括一含铪(Hf-containing)化合物或一含锆(Zr-containing)化合物。
依据本公开的一些实施例,该反应物包括一含氧(oxygen-containing)化合物。
依据本公开的一些实施例,该反应物包括一含氮(nitrogen-containing)化合物。
依据本公开的一些实施例,该反应物包括一含氧及氮(containing oxygen andnitrogen)的化合物。
依据本公开的一些实施例,在该图案层上的该含金属层包括一金属,该金属与包含在该金属前驱物中的金属相同。
依据本公开的一些实施例,该图案层由将一光刻胶层暴露在一图案化辐射下,并显影出该已暴露的光刻胶层所形成。
本公开的另一实施例提供一种多层结构的制备方法,包括在一反应器中沉积一基底,该基底具有一图案层,其中该基底包括有一碳硬遮罩层以及一氮氧化硅层;将一金属前驱物引入该反应器中,其中该金属前驱物被该图案层所吸收;通过抽出一过量金属前驱物,以将该过量金属前驱物从该反应器清除;以及将一反应物引入该反应器中,其中该反应物与该金属前驱物相互反应,以在该图案层上形成一含金属层。
依据本公开的一些实施例,制备方法,还包括重复该金属前驱物引入步骤、该过量金属前驱物清除步骤,以及该反应物引入步骤,直至该多层结构具有一期望厚度。
依据本公开的一些实施例,该反应物引入步骤中被引入的该反应物,与每一次重复的该反应物引入步骤的反应物相同。
依据本公开的一些实施例,在该反应物引入步骤中被引入的该反应物,与不同的重复的该反应物引入步骤的反应物不同。
依据本公开的一些实施例,该金属前驱物包括一含铪化合物或一含锆化合物。
依据本公开的一些实施例,该反应物包括一含氧化合物。
依据本公开的一些实施例,该反应物包括一含氮化合物。
依据本公开的一些实施例,该反应物包括一含氧及氮的化合物。
依据本公开的一些实施例,在该图案层上的该含金属层包括一金属,该金属与包含在该金属前驱物中的金属相同。
依据本公开的一些实施例,该图案层由将一光刻胶层暴露在一图案化辐射下,并显影出该已暴露的光刻胶层所形成。
由于在多层结构的制备期间,使用抽吸装置(pump devices)抽出过量的前驱物,不仅从反应器清除过量的金属前驱物,而且也加强与反表混合的前驱物的吸收,再者,还可获得多层结构的期望厚度。
上文已相当广泛地概述本公开的技术特征及优点,从而使下文的本公开详细描述得以获得优选了解。构成本公开的权利要求标的的其它技术特征及优点将描述于下文。本公开所属技术领域中技术人员应了解,可相当容易地利用下文公开的概念与特定实施例可作为修改或设计其它结构或工艺而实现与本公开相同的目的。本公开所属技术领域中技术人员亦应了解,这类等效建构无法脱离权利要求所界定的本公开的构思和范围。
附图说明
参阅实施方式与权利要求合并考量附图时,可得以更全面了解本公开的内容,附图中相同的元件符号是指相同的元件。
图1为依据本公开一些实施例的一种多层结构的制备方法。
图2为依据本公开一些实施例于制备期间的一多层结构的剖视示意图。
图3为依据本公开一些实施例于制备期间的一多层结构的剖视示意图。
图4为依据本公开一些实施例于一反应器中的制备期间的一多层结构的剖视示意图。
图5为依据本公开一些实施例于一反应器中的制备期间的一多层结构的剖视示意图。
图6为依据本公开一些实施例于一反应器中的制备期间的一多层结构的剖视示意图。
图7为依据本公开一些实施例于一反应器中的制备期间的一多层结构的剖视示意图。
图8为依据本公开一些实施例于制备期间的一多层结构的剖视示意图。
图9为依据本公开一些实施例于一反应器中的制备期间的一多层结构的剖视示意图。
图10为依据本公开一些实施例于一反应器中的制备期间的一多层结构的剖视示意图。
图11为依据本公开一些实施例于一反应器中的制备期间的一多层结构的剖视示意图。
图12为依据本公开一些实施例于一反应器中的制备期间的一多层结构的剖视示意图。
附图标记说明:
10 制备方法
20 遮罩
21 平板
22 通孔
30 反应器
33 处理区
35 抽吸装置
40 金属前驱物
42 过量的金属前驱物
50 反应物
60 金属前驱物
62 过量的金属前驱物
70 反应物
80 反应物
100 多层结构
112 基底
114 阻剂层
115 层
116 光刻胶层
118 图案化辐射
119 辐射源
121 碳硬遮罩层
124 图案层
126 阻剂特征
128 第一前驱物吸收层
130 第一含金属层
132 第二前驱物吸收层
134 第二含金属层
200 多层结构
212 基底
215 层
221 碳硬遮罩层
223 氮氧化硅层
224 图案层
226 阻剂特征
228 第三前驱物吸收层
230 第三含金属层
232 第四前驱物吸收层
234 第四含金属层
S110 步骤
S120 步骤
S130 步骤
S140 步骤
T1 期望厚度
T2 期望厚度
具体实施方式
本公开的以下说明伴随并入且组成说明书的一部分的附图,说明本公开的实施例,然而本公开并不受限于该实施例。此外,以下的实施例可适当整合以下实施例以完成另一实施例。
“一实施例”、“实施例”、“例示实施例”、“其他实施例”、“另一实施例”等是指本公开所描述的实施例可包含特定特征、结构或是特性,然而并非每一实施例必须包含该特定特征、结构或是特性。再者,重复使用“在实施例中”一语并非必须指相同实施例,然而可为相同实施例。
为了使得本公开可被完全理解,以下说明提供详细的步骤与结构。显然,本公开的实施不会限制本领域技术人员已知的特定细节。此外,已知的结构与步骤不再详述,以免不必要地限制本公开。本公开的优选实施例详述如下。然而,除了详细说明之外,本公开亦可广泛实施于其他实施例中。本公开的范围不限于详细说明的内容,而是由权利要求定义。
本文中使用的术语仅是为了实现描述特定实施例的目的,而非意欲限制本发明。如本文中所使用,单数形式“一(a)”、“一(an)”,及“该(the)”意欲亦包括多个形式,除非上下文中另作明确指示。将进一步理解,当术语“包括(comprises)”及/或“包括(comprising)”用于本说明书中时,该等术语规定所陈述的特征、整数、步骤、操作、元件,及/或组件的存在,但不排除存在或增添一或更多个其他特征、整数、步骤、操作、元件、组件,及/或上述各者的群组。
依据本公开的一些实施例,图1是示出一种多层结构的制备方法10,且图2至图7为于制备期间的多层结构的剖视示意图。如图1所示,一种多层结构的制备方法包括下列步骤。一基底沉积在一反应器中,而所述基底具有一图案层(patterned layer)(步骤S110)。一金属前驱物(metal precursor)被引入到反应器中,其中金属前驱物被吸收到图案层(步骤S120)。通过抽出一过量金属前驱物(Excess metal precursor),以将所述过量金属前驱物从反应器清除(步骤S130)。将一反应物(reactant)引入反应器中,其中反应物与金属前驱物相互反应,以在图案层上形成一含金属层(metal-containing layer)(步骤S140)。
如图2所示,依据一些实施例,一阻剂层(resist layer)114形成在一多层结构100的一基底112上。多层结构100的基底112可包含一或多层115,其可由含金属材料、介电材料或是半导体材料所制。而层115可代表一单一连续层、一分段层(segmented layer)或是不同的主动或被动特性,例如晶体管(transistors)、集成电路(integrated circuits)、光伏元件(photovoltaic components)、显示元件(display components),或是其类似物,其位于基底112中或者位于基底112的表面上。在一些实施例中,例如,层115可包括一碳硬遮罩层(carbon hard mask layer)121以及一氮氧化硅层(silicon oxynitride)123。典型地,阻剂层114沉积在层115上,而此时层115已在基底112上。然而,图案化阻剂层114以形成具有多个阻剂特征(resist features)126的一图案层124,而阻剂特征126可当成抗蚀刻特征(etch-resistantfeatures),经由蚀刻穿经置于多个阻剂特征126之间的层115的已暴露部分,将一图案(pattern)转移到位在基底112上的下层(underlying layer)115。
在一些实施例中,阻剂层114为一光刻胶层116,光刻胶层116可由辐射敏感材料(radiation-sensitive material)所制,但并不局限在光子敏感(photon-sensitive)材料或是光敏感(light-sensitive)材料,其可为光敏感材料、电子敏感(electron-sensitive)材料、X射线敏感(X-ray sensitive)材料,或者是其他辐射敏感材料。在一些实施例中,光刻胶层116为对光敏感的一正型光刻胶(positive photoresist)或一负型光刻胶(negative photoresist)。一正型光刻胶在其暴露在光的部分变成可溶解于一光刻胶显影剂(photoresist developer),而未暴露的部分是于留下来而不溶解于一光刻胶显影剂。一负型光刻胶在其暴露在光的部分变成不溶解于光刻胶显影剂,而未暴露的部分则被光刻胶显影剂所溶解。光刻胶层116可由光刻胶材料(photoresist material)所制,例如聚甲基丙烯酸甲酯(polymethylmethacrylate,PMMA)、聚甲基戊二酰亚胺(polymethylglutarimide,PMGI)、酚甲醛树脂(phenol formaldehyde resin)、双氮基酉昆(diazonaphthoquinone,DNQ)及酚醛树脂(novolac resin),或者是SU-8,其为一环氧基(epoxy-based)负型光刻胶。在一些实施例中,举例来说,光刻胶层116可形成约5nm至500nm的一厚度。
在一些实施例中,阻剂层114可被应用来当成在浸涂法(dip coating)或旋涂法(spin-coating)的一液体(liquid),液态阻剂(liquid resist)分配在基底112的表面上,同时快速地旋转基底112,直至其变干。旋涂工艺可实施在约15至30秒中的2000至6500rpm的旋转速度。阻剂涂布(resist coating)继续在软烤工艺(soft bake process)之后,而软烤工艺对旋涂阻剂层(spin-coated resist layer)加热,使溶剂(solvent)从旋涂阻剂(spun-on resist)蒸发、改善阻剂对基底112的粘性,或甚至退火阻剂层114以减少剪应力(shear stress),而剪应力在旋涂期间被引入的。软烤(soft baking)可在一烤炉(oven)中执行,例如一对流炉(convection oven)、红外线炉(infrared oven),或者热平板炉(hotplate oven)。对于软烤的典型温度范围,在约80至100℃之间。在一例子中,可提供一干膜(dry film),例如聚合物膜(polymer films),其为辐射敏感。干膜是可视膜的特质以决定可以或不可以需要被烘烤(baked)或被固化(cured)。
在一些实施例中,如图2所示,举例来说,包含有光刻胶层116的阻剂层114,之后是可暴露在一图案化辐射(patterned radiation)118,而举例来说,图案化辐射118经由一遮罩20的一辐射源119所提供。遮罩20可为一平板(plate)21,而平板21具有通孔22(如图所示)或者可穿透部分(transparent portions)(图未示),而通孔22或可穿透部分对应一图案,所述图案允许辐射118选择地穿透遮罩的所述部分,以形成交叉线或弧线(intersecting lines or arcs)的一辐射图案(radiation pattern)。遮罩20可由所属技术领域中技术人员由以知的方法所制造。
在一些实施例中,光刻胶层116可由SU-8所制,其为一粘性聚合物(viscouspolymer),可旋转或延展成0.1微米(micrometers)至2微米饭为的一厚度,并与标准接触光刻技术(contact lithography)一同处理。如图3所示,光刻胶层116可被用来图案化阻剂特征126,而阻剂特征126具有等于或大于20的一高的深宽比(high aspect ratio)(特征的高度对宽度的比值)。在本例中,辐射源119提供紫外光(ultraviolet light),紫外光具有170nm到195nm之间的一波长。
在一些实施例中,光刻胶层116可包含一电子敏感材料,且辐射源119可为一电子束源(electron beam source)。电子束光刻技术(Electron beam lithography)典型地依赖光刻胶材料,而此光刻胶材料特定用于电子束曝光(electron-beam exposure),而且已知的电子束光刻技术与材料已被使用。在一些实施例中,光刻胶层116可由光敏感材料所制,例如双氮基酉昆(DNQ)。辐射源119提供紫外线,而紫外线具有小于300nm的波长,举例来说,为约248nm,而辐射源119例如汞灯(mercury lamp)。包含DNQ的光刻胶层116可使劲地吸收具有300nm到450nm波长的光。在一些实施例中,光刻胶层116可由以DNQ与酚醛树脂(novolac resin)的混合物为基础的一正型光刻胶所制。对于如此的光刻胶的一是合的辐射源119可为一汞蒸气灯(mercury vapor lamp),设定来从汞蒸气灯提供包含I、G、H线的光。
如图2及图3所示,在一些实施例中,在阻剂层114暴露在辐射118以在阻剂层114中产生一图案之后,可显影(developed)所述已暴露阻剂层114来形成一图案层124,而图案层124具有相互间隔设置的多个阻剂特征126。在所述显影步骤(development step)的一实例中,暴露到辐射的光刻胶层116以液态显影剂进行处理,以设定在光刻胶层116的已暴露部分与未暴露部分,进而形成所述图案层124。液态显影剂在已暴露的阻剂层114中开始化学反应,其中光刻胶层116的未暴露或已暴露部分取决于是否阻剂是否为一正型或负型阻剂而溶解在显影剂中。适合的显影剂包括以一基料(base)的稀释液,例如钠(sodium)或碳酸钾(potassium carbonate)。举例来说,显影剂可为1%的一水碳酸钾(sodium carbonatemonohydrate,Na2CO3.H2O)或是碳酸钾(potassium carbonate,K2CO3)、氢氧化钠(sodiumhydroxide),或是其混合物。可使用自动pH控制排溃显影(Automated pH-controlledfeed-and-bleed developing),其pH值是设定在约10.5。亦可以沉浸(immersion)或喷涂(spraying)所选择的显影剂显影所述阻剂层114。在显影之后,冲洗并弄干具有阻剂特征126的基底112,以在显影剂已从基底112移除之后,确认显影将不会持续。
在一些实施例中,如图4所示,基底112是接下来沉积在一反应器30中,以制备所述多层结构100,而此时的基底112是具有图案层124,且图案层124具有阻剂特征126。一金属前驱物40是可被引入到含有基底112的反应器30中。举例来说,金属前驱物40可包含一含铪化合物(hafnium(Hf)-containing compound)或一含锆化合物(zirconium(Zr)-containing compound)。在一处理区(processed zone)33进行处理之后,金属前驱物40被引入到反应器30中,而若需要的话,依据应用,处理区33可对金属前驱物40加热或蒸发。举例来说,金属前驱物40。可经由一载送气体(carrier gas)而传输到所述处理区33。在引入到反应器30中之后,可包含一含铪化合物或一含锆化合物的金属前驱物40被图案层124所吸收,以形成一第一前驱物吸收层(first precursor absorption layer)128,如图4所示。过量的金属前驱物42由一抽吸装置(pump device)35所清除,抽吸装置35将过量的金属前驱物42从反应器30吸出。理应注意的是,所属技术领域中技术人员将察知温度、压力、载送气体流量(flow rate),以及抽吸持续期间是可以调整的,以依据所应用来控制引入及抽出金属前驱物40的数量。
在一些实施例中,如图5所示,在适合于所应用的一温度与压力下在处理区33进行处理之后,接下来一反应物50被引入到反应器30中。反应物50需要一载送气体来运送至处理区33。所属技术领域中技术人员将察知,可调整在反应器30中的温度、压力,以及载送气体流量,以控制被引入的反应物50的数量。反应物50可包含一含氧化合物(oxygen-containing compound),例如氧(O2)或臭氧(O3)。举例来说,在一些实施例中,含氧反应物50可与金属前驱物40相互反应,以在图案层124上形成一第一含金属层(first metal-containing layer)130。第一含金属层130可包含一金属,所述金属与包含在所述金属前驱物40中的一金属相同。
在一些实施例中,反应物50可包含一含氮化合物(nitrogen-containingcompound),例如氮(nitrogen,N2)、联氨(hydrazine,NH2NH2)、氨(ammonia,NH3)、其烷基或芳香基衍生物(alkyl or aryl derivatives),或者其混合物。在其他实施例中,反应物50可包含一含氧及氮的化合物(compound containing oxygen and nitrogen),例如一氧化氮(NO)、二氧化氮(NO2)、一氧化二氮(N2O)、四氧化二氮(N2O4)、五氧化二氮(N2O5),或其混合物。
在一些实施例中,如图6及图7所示,可重复在图4与图5中所示的金属前驱物引入步骤、过量金属前驱物清除步骤,以及反应物引入步骤,直至多层结构100具有一期望厚度(desired thickness)T1。请参考图6,金属前驱物40再次被引入到含有基底112的反应器30中。举例来说,金属前驱物40可包含图4的含铪化合物或含锆化合物。在一处理区33进行处理之后,金属前驱物40被引入到反应器30中,而若需要的话,依据应用,处理区33可对金属前驱物40加热或蒸发。举例来说,金属前驱物40可经由一载送气体(carrier gas)而传输到所述处理区33。在引入到反应器30中之后,可包含一含铪化合物或一含锆化合物的金属前驱物40被第一含金属层130所吸收,以形成一第二前驱物吸收层(second precursorabsorption layer)132,如图6所示。过量的金属前驱物42再次由抽吸装置35所清除,抽吸装置35将过量的金属前驱物42从反应器30吸出。理应注意的是,所属技术领域中技术人员将察知温度、压力、载送气体流量,以及抽吸持续期间在不同循环周期(cycles)是可以调整的,以依据所应用来控制引入及抽出金属前驱物40的数量,为了获得多层结构100的期望厚度T1。
请参考图7,在一些实施例中,在适合于所应用的一温度与压力下在处理区33进行处理之后,反应物50再次被引入到反应器30中。反应物50需要一载送气体来运送至处理区33。所属技术领域中技术人员将察知,可不同循环周期调整在反应器30中的温度、压力,以及载送气体流量,以控制被引入的反应物50的数量。举例来说,反应物50可包含所述含氧化合物,例如图5的氧(O2)或臭氧(O3)。含氧反应物50可与金属前驱物40相互反应,以在第一含金属层130上形成一第二含金属层(second metal-containing layer)134。在一些实施例中,第二含金属层134可包含一金属,所述金属与包含在所述金属前驱物40中的一金属相同。据此,在多层结构100的制备期间,通过使用抽吸装置35来抽出过量前驱物,不仅过量金属前驱物42从反应器30中清除,亦加强反应表面的前驱物化合物的吸收,且可获得多层结构100的期望厚度T1。
理应注意的是,虽然使用在制备多层结构100的反应物引入步骤中的反应物,可与不同重复循环的反应物引入步骤相同,但本公开并不以此为限。在一些实施例中,使用在制备多层结构100的反应物引入步骤中的反应物,是可与不同重复循环的反应物引入步骤不相同,如图8至图12所示出的制备一多层结构200的剖视示意图。
如图8所示,依据一些实施例,多层结构200的一基底212是可包含一或多层215,其可由含金属材料、介电材料或半导体材料所制。层215可代表一单一连续层、一分段层或不同的主动或被动特性,例如晶体管、集成电路、光伏元件、显示元件,或其类似物,其位于基底212中或者位于基底212的表面上。在一些实施例中,举例来说,层215可包括一碳硬遮罩层221以及一氮氧化硅层223。类似于图3的图案层124,形成具有阻剂特征226的一图案层224,其中阻剂特征226可当成抗蚀刻特征,经由蚀刻穿经置于多个阻剂特征226之间的层215的已暴露部分,将一图案转移到位在基底212上的下层215。然而,应该注意的是,图案层224亦可由在图2中所示的工艺的不同变异(variations)所形成。
在一些实施例中,如图9所示,接下来,基底212位于反应器30中,以制备多层结构200,此时基底212具有所述图案层224,而所述图案层224具有阻剂特征226。一金属前驱物60被引入到含有基底212的反应器30中。举例来说,金属前驱物60可包含一含铪化合物或一含锆化合物。在一处理区33进行处理之后,金属前驱物60被引入到反应器30中,而若需要的话,依据应用,处理区33可对金属前驱物60加热或蒸发。举例来说,金属前驱物60可经由一载送气体而传输到所述处理区33。在引入到反应器30中之后,可包含一含铪化合物或一含锆化合物的金属前驱物60被图案层224所吸收,以形成一第三前驱物吸收层(thirdprecursor absorption layer)228,如图9所示。过量的金属前驱物62由抽吸装置35所清除,抽吸装置35将过量的金属前驱物62从反应器30吸出。理应注意的是,所属技术领域中技术人员将察知温度、压力、载送气体流量,以及抽吸持续期间是可以调整的,以依据所应用来控制引入及抽出金属前驱物60的数量。
在一些实施例中,如图10所示,在适合于所应用的一温度与压力下在处理区33进行处理之后,接下来,一反应物70被引入到反应器30中。反应物70可需要一载送气体来运送至处理区33。所属技术领域中技术人员将察知,可调整在反应器30中的温度、压力,以及载送气体流量,以控制被引入的反应物70的数量。反应物50可包含一含氧化合物,例如氧(O2)或臭氧(O3)。举例来说,在一些实施例中,含氧反应物70可与金属前驱物60相互反应,以在图案层224上形成一第三含金属层(third metal-containing layer)230。第三含金属层230可包含一金属,所述金属与包含在所述金属前驱物60中的一金属相同。
在一些实施例中,反应物70可包含一含氮化合物,例如氮(N2)、联氨(NH2NH2)、氨(NH3)、其烷基或芳香基衍生物,或者其混合物。在其他实施例中,反应物70可包含一含氧及氮的化合物,例如一氧化氮(NO)、二氧化氮(NO2)、一氧化二氮(N2O)、四氧化二氮(N2O4)、五氧化二氮(N2O5),或其混合物。
在一些实施例中,如图11及图12所示,可重复在图9与图10中所示的金属前驱物引入步骤、过量金属前驱物清除步骤,以及反应物引入步骤,直至多层结构200具有一期望厚度T2。请参考图11,金属前驱物60再次被引入到含有基底212的反应器30中。举例来说,金属前驱物60可包含图9的含铪化合物或含锆化合物。在一处理区33进行处理之后,金属前驱物60被引入到反应器30中,而若需要的话,依据应用,处理区33可对金属前驱物40加热或蒸发。举例来说,金属前驱物60可经由一载送气体而传输到所述处理区33。在引入到反应器30中之后,可包含一含铪化合物或一含锆化合物的金属前驱物60被第三含金属层230所吸收,以形成一第四前驱物吸收层(fourth precursor absorption layer)232,如图11所示。过量的金属前驱物62再次由抽吸装置35所清除,抽吸装置35将过量的金属前驱物62从反应器30吸出。理应注意的是,所属技术领域中技术人员将察知温度、压力、载送气体流量,以及抽吸持续期间在不同循环周期是可以调整的,以依据所应用来控制引入及抽出金属前驱物40的数量,为了获得多层结构200的期望厚度T2。
请参考图12,在一些实施例中,在适合于所应用的一温度与压力下在处理区33进行处理之后,一反应物80被引入到反应器30中。在如此的实施例中,使用在反应物引入步骤的反应物70与80与不同循环周期的反应物引入步骤不相同。反应物80可需要一载送气体来运送至处理区33。所属技术领域中技术人员将察知,可在不同循环周期调整反应器30中的温度、压力,以及载送气体流量,以控制被引入的反应物80的数量。反应物80可包含所述含氧化合物,例如氧(O2)或臭氧(O3)。举例来说,在一些实施例中,含氧反应物80可与金属前驱物60相互反应,以在第三含金属层230上形成一第四含金属层(fourth metal-containinglayer)234。在一些实施例中,第四含金属层234可包含一金属,所述金属与包含在所述金属前驱物60中的一金属相同。据此,在多层结构200的制备期间,通过使用抽吸装置35来抽出过量前驱物,不仅过量金属前驱物62从反应器30中清除,亦加强反应表面的前驱物化合物的吸收,且可获得多层结构200的期望厚度T2。
理应注意的是,在一些实施例中,反应物80可包含一含氮化合物,例如氮(N2)、联氨(NH2NH2)、氨(NH3)、其烷基或芳香基衍生物,或者其混合物。在其他实施例中,反应物80可包含一含氧及氮的化合物,例如一氧化氮(NO)、二氧化氮(NO2)、一氧化二氮(N2O)、四氧化二氮(N2O4)、五氧化二氮(N2O5),或其混合物。
再者,依据一些实施例,举例来说,反应物50、70与80也一样,使用来制备多层结构100与200的前驱物40与60可个别地馈入到在处理区33中的一汽化器,其在引入到反应器30之前对其每一个个别地进行汽化。文中的术语“每一个(each)”及“个别地(individually)”代表被选择来使用当作前驱物40、60以及反应物50、70与80的一或多个前驱物及反应物。在汽化之前,反应物50、70与80也一样,每一个前驱物40、60可选择地在处理区33中与一或多个溶剂(solvents)混合。溶剂可选自甲苯(toluene)、乙基苯(ethyl benzene)、二甲苯(xylene)、均三甲苯(mesitylene)、癸烷(decane)、十二烷(dodecane)、辛烷(octane)、己烷(hexane)、戊烷(pentane)、其他适合的溶剂,或者其混合物。再者,前驱物40、60亦可选自双(二乙基氨基)硅烷(BDEAS)、三(二甲氨基)硅烷(3DMAS)、四(二甲基胺基)硅烷(4DMAS)、四(乙基甲基胺基)铪(tetrakis(ethylmethylamino)hafnium)、其他适合的胺基金属(amino-metal)前驱物、其他适合的卤化(halogenated)前驱物,以及其混合物。若需要的话,可一些可能的载送气体,其可包含氩(Ar)、氦(He)、氮(N2)、其他适合的载送气体,或其混合物,但并不以此为限。
在一些实施例中,反应器30的抽吸装置35可包括一排气装置(exhaust)(图未示),以从反应器30移除用过的工艺气体与副产品,并在处理区33中维持工艺气体(processgas)的一预定压力。抽吸装置35可包括多个抽吸通道(pump channels),以从处理区、排出端(exhaust ports)、节流阀(throttle valves)以及排出泵(exhaust pumps)接收用过的工艺气体,进而控制在反应器30中的工艺气体(process gasses)的压力。抽吸装置35可包括一或多个涡轮分子泵(turbo-molecular pump)、冷冻泵(cryogenic pump)、低压真空泵(roughing pump),以及具有一个以上功能的组合功能泵(combination-function pumps)。反应器30亦可包括一进气端或管(inlet port or tube)(图未示),穿经反应器30的一壁面(wall)以传递一清除气体(purging gas)到反应器30。所述清除气体典型地是可朝上从进气端经过多层结构100及200的支撑平板(support plates)而流向一环形抽吸通道(annular pumping channel)。在工艺期间,所述清除气体可被使用来保护支撑平板的表面与其他反应器30的元件,避免非所欲的沉积。所述清除气体亦可被用来以所欲方法影响工艺气体的流动(flow)。
依据本公开的一些实施例,基底112与212的实例可包括硅基底(siliconsubstrates)、二氧化硅基底(silica substrates)、氮化硅基底(silicon nitridesubstrates)、氮氧化硅基底(silicon oxynitridesubstrates)、金属基底(metalsubstrates)、氮化金属基底(metal nitride substrates)、钨基底(tungstensubstrates),或其组合,但并不以此为限。再者,在一些实施例中,基底112、212可包含贵重金属(noble metals)(例如铂、钯、铑,或金)或钨(tungsten)。
虽然已详述本公开及其优点,然而应理解可进行各种变化、取代与替代而不脱离权利要求所定义的本公开的构思与范围。例如,可用不同的方法实施上述的许多工艺,并且以其他工艺或其组合替代上述的许多工艺。
再者,本公开的范围并不受限于说明书中所述的工艺、机械、制造、物质组成物、手段、方法与步骤的特定实施例。本领域技术人员可自本公开的公开内容理解可根据本公开而使用与本文所述的对应实施例具有相同功能或达到实质上相同结果的现存或未来发展的工艺、机械、制造、物质组成物、手段、方法、或步骤。据此,这些工艺、机械、制造、物质组成物、手段、方法、或步骤是包含于本公开的权利要求内。

Claims (20)

1.一种多层结构的制备方法,包括:
在一反应器中沉积一基底,该基底具有一图案层;
将一金属前驱物引入该反应器中,其中该金属前驱物被该图案层吸收;
通过抽出一过量金属前驱物,以将该过量金属前驱物从该反应器清除;以及
将一反应物引入该反应器中,其中该反应物与该金属前驱物相互反应,以在该图案层上形成一含金属层。
2.如权利要求1所述的制备方法,还包括重复该金属前驱物引入步骤、该过量金属前驱物清除步骤,以及该反应物引入步骤,直至该多层结构具有一期望厚度。
3.如权利要求2所述的制备方法,其中在该反应物引入步骤中被引入的该反应物,与每一次重复的该反应物引入步骤的反应物相同。
4.如权利要求2所述的制备方法,其中在该反应物引入步骤中被引入的该反应物,与不同的重复的该反应物引入步骤的反应物不同。
5.如权利要求1所述的制备方法,其中该金属前驱物包括一含铪化合物或一含锆化合物。
6.如权利要求1所述的制备方法,其中该反应物包括一含氧化合物。
7.如权利要求1所述的制备方法,其中该反应物包括一含氮化合物。
8.如权利要求1所述的制备方法,其中该反应物包括一含氧及氮的化合物。
9.如权利要求1所述的制备方法,其中在该图案层上的该含金属层包括一金属,该金属与包含在该金属前驱物中的金属相同。
10.如权利要求1所述的制备方法,其中该图案层由将一光刻胶层暴露在一图案化辐射下,并显影暴露的该光刻胶层所形成。
11.一种多层结构的制备方法,包括:
在一反应器中沉积一基底,该基底具有一图案层,其中该基底包括有一碳硬遮罩层以及一氮氧化硅层;
将一金属前驱物引入该反应器中,其中该金属前驱物被该图案层所吸收;
通过抽出一过量金属前驱物,以将该过量金属前驱物从该反应器清除;以及
将一反应物引入该反应器中,其中该反应物与该金属前驱物相互反应,以在该图案层上形成一含金属层。
12.如权利要求11所述的制备方法,还包括重复该金属前驱物引入步骤、该过量金属前驱物清除步骤,以及该反应物引入步骤,直至该多层结构具有一期望厚度。
13.如权利要求12所述的制备方法,其中该反应物引入步骤中被引入的该反应物,与每一次重复的该反应物引入步骤的反应物相同。
14.如权利要求12所述的制备方法,其中在该反应物引入步骤中被引入的该反应物,与不同的重复的该反应物引入步骤的反应物不同。
15.如权利要求11所述的制备方法,其中该金属前驱物包括一含铪化合物或一含锆化合物。
16.如权利要求11所述的制备方法,其中该反应物包括一含氧化合物。
17.如权利要求11所述的制备方法,其中该反应物包括一含氮化合物。
18.如权利要求11所述的制备方法,其中该反应物包括一含氧及氮的化合物。
19.如权利要求11所述的制备方法,其中在该图案层上的该含金属层包括一金属,该金属与包含在该金属前驱物中的金属相同。
20.如权利要求11所述的制备方法,其中该图案层由将一光刻胶层暴露在一图案化辐射下,并显影出已暴露的该光刻胶层所形成。
CN201910773534.0A 2018-12-19 2019-08-21 多层结构的制备方法 Pending CN111341644A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862782049P 2018-12-19 2018-12-19
US62/782,049 2018-12-19
US16/368,106 US20200203143A1 (en) 2018-12-19 2019-03-28 Method for preparing multilayer structure
US16/368,106 2019-03-28

Publications (1)

Publication Number Publication Date
CN111341644A true CN111341644A (zh) 2020-06-26

Family

ID=71097834

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910773534.0A Pending CN111341644A (zh) 2018-12-19 2019-08-21 多层结构的制备方法

Country Status (3)

Country Link
US (1) US20200203143A1 (zh)
CN (1) CN111341644A (zh)
TW (1) TW202025296A (zh)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4586980A (en) * 1984-02-20 1986-05-06 Canon Kabushiki Kaisha Pattern forming method
US20060189055A1 (en) * 2005-02-24 2006-08-24 Samsung Electronics Co., Ltd. Method of forming a composite layer, method of manufacturing a gate structure by using the method of forming the composite layer and method of manufacturing a capacitor by using the method of forming the composite layer
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US20130264659A1 (en) * 2012-04-04 2013-10-10 Asm Ip Holdings B.V. Metal Oxide Protective Layer for a Semiconductor Device
US20170294310A1 (en) * 2016-04-12 2017-10-12 Tokyo Electron Limited Self-aligned spacer formation
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
TW201816163A (zh) * 2016-07-08 2018-05-01 Asm智慧財產控股公司 用於原子層沉積之有機反應物
US20180151373A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Methods of Manufacture
US20180158688A1 (en) * 2016-11-01 2018-06-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4119483A (en) * 1974-07-30 1978-10-10 U.S. Philips Corporation Method of structuring thin layers
DE102005033579A1 (de) * 2005-07-19 2007-01-25 H.C. Starck Gmbh Verfahren zur Herstellung dünner Hafnium- oder Zirkonnitrid-Schichten
JP7250397B2 (ja) * 2017-08-11 2023-04-03 東京エレクトロン株式会社 ハロゲン不活性化を使用した選択的膜堆積

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4586980A (en) * 1984-02-20 1986-05-06 Canon Kabushiki Kaisha Pattern forming method
US20060189055A1 (en) * 2005-02-24 2006-08-24 Samsung Electronics Co., Ltd. Method of forming a composite layer, method of manufacturing a gate structure by using the method of forming the composite layer and method of manufacturing a capacitor by using the method of forming the composite layer
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20130264659A1 (en) * 2012-04-04 2013-10-10 Asm Ip Holdings B.V. Metal Oxide Protective Layer for a Semiconductor Device
US20170294310A1 (en) * 2016-04-12 2017-10-12 Tokyo Electron Limited Self-aligned spacer formation
TW201816163A (zh) * 2016-07-08 2018-05-01 Asm智慧財產控股公司 用於原子層沉積之有機反應物
US20180158688A1 (en) * 2016-11-01 2018-06-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US20180151373A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Methods of Manufacture

Also Published As

Publication number Publication date
TW202025296A (zh) 2020-07-01
US20200203143A1 (en) 2020-06-25

Similar Documents

Publication Publication Date Title
CN111354625A (zh) 多层结构的制备方法
TWI746728B (zh) 半導體處理裝置
US20210013034A1 (en) Methods for making euv patternable hard masks
US11921427B2 (en) Methods for making hard masks useful in next-generation lithography
US8465903B2 (en) Radiation patternable CVD film
US10361112B2 (en) High aspect ratio gap fill
KR101998844B1 (ko) 식각 정지층을 사용한 반도체 소자 제조
CN113330141A (zh) 沉积氮化硅的方法
TW202219307A (zh) 半導體整合膜的沉積
TW202214906A (zh) 半導體整合膜的沉積
CN111341644A (zh) 多层结构的制备方法
KR20220118337A (ko) 포토레지스트 필름들의 화학 기상 응축 증착
TWI722511B (zh) 多層結構的製備方法
TW202240294A (zh) 正型光阻劑膜的氧化處理
TW202407463A (zh) 雙型光阻劑
TWI789611B (zh) 圖案形成方法及包含該方法之半導體之製造方法
TW202401156A (zh) 形成半導體結構之方法、半導體結構、及反應器系統
KR20230116064A (ko) 포토레지스트들로서 사용하기 위한 탄소-도핑된 금속산화물들의 기상 증착

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20200626

WD01 Invention patent application deemed withdrawn after publication