CN109283800A - 过程窗口的优化方法 - Google Patents

过程窗口的优化方法 Download PDF

Info

Publication number
CN109283800A
CN109283800A CN201811040666.4A CN201811040666A CN109283800A CN 109283800 A CN109283800 A CN 109283800A CN 201811040666 A CN201811040666 A CN 201811040666A CN 109283800 A CN109283800 A CN 109283800A
Authority
CN
China
Prior art keywords
pattern
defect
technological parameter
substrate
parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811040666.4A
Other languages
English (en)
Other versions
CN109283800B (zh
Inventor
斯蒂芬·亨斯克
V·维拉恩基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN109283800A publication Critical patent/CN109283800A/zh
Application granted granted Critical
Publication of CN109283800B publication Critical patent/CN109283800B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Abstract

本发明披露一种用于器件制造过程的由计算机实施的缺陷预测方法,该器件制造过程涉及将图案加工至衬底上,该方法包括从该图案识别出工艺窗口限制图案(PWLP);确定所述PWLP被加工所依据的工艺参数;和使用所述工艺参数来确定或预测利用所述器件制造过程由所述PWLP产生的缺陷的存在、存在机率、特性、或其组合。

Description

过程窗口的优化方法
本申请是申请日为2015年01月07日、申请号为201580008223.5、发明名称为“过程窗口的优化方法”的专利申请的分案申请。
相关申请的交叉引用
本申请与2014年2月12日提交的美国临时专利申请61/939,071和2014年2月24日提交的美国临时申请61/943,834相关,其通过援引而全文合并到本发明中。
技术领域
本发明涉及对半导体制造工艺的性能进行优化的方法。所述方法可以与光刻设备结合使用。
背景技术
光刻设备是一种将所需图案应用到衬底的目标部分上的机器。例如,可以将光刻设备用在集成电路(IC)的制造中。在这种情况下,可以将可选地称为掩模或掩模版的图案形成装置用于生成与所述IC的单层相对应的电路图案,并且可以将该图案成像到衬底(例如,硅晶片)上的目标部分(例如,包括一部分管芯、一个或多个管芯)上,其中所述衬底具有辐射敏感材料(抗蚀剂)层。通常,单个衬底将包含被连续曝光的相邻目标部分的网络。公知的光刻设备包括:所谓的步进机,在所述步进机中,通过将整个图案一次曝光到所述目标部分上来辐射每一个目标部分;以及所谓的扫描器,在所述扫描器中,通过辐射束沿给定方向(“扫描”方向)扫描所述图案、同时沿与该方向平行或反向平行的方向同步地扫描所述衬底来辐射每一个目标部分。
发明内容
本发明披露一种用于器件制造过程的由计算机实施的缺陷确定或预测方法,该器件制造过程涉及将图案加工至衬底上,该方法包括从所述图案识别出一个或更多个工艺窗口限制图案(PWLP);确定所述PWLP被加工所依据的一个或更多个工艺参数;使用所述一个或更多个工艺参数来确定或预测利用所述器件制造过程由所述PWLP中的至少一个所产生的缺陷的存在、存在机率、一个或更多个特性、或其组合。在实施例中,在PWLP被加工之前紧接着确定了所述一个或更多个工艺参数。在实施例中,所述缺陷在不可逆地加工所述衬底之前不能够被检查。所述缺陷不能够检查的事实可能是由于用以进行标准检查的检查工具的受限制品质。如果使用本发明所披露的由计算机实施的缺陷预测方法来预测此缺陷,则特定缺陷可由非标准检查工具检查以进一步评估所预测的缺陷的严重性。替代地,缺陷可能太小而根本不能用在撰写本发明时可用的检查工具中任一个来检测。在此状况下,使用本发明所披露的方法进行的缺陷的预测可用以决定再加工所述管芯或晶片以避免关于该产品的所预测的缺陷。
根据实施例,使用所述一个或更多个工艺参数来确定或预测存在、存在机率、一个或更多个特性、或其组合进一步使用了所述PWLP的特性、所述图案的特性,或上述二者。
根据实施例,所述方法进一步包括使用所述缺陷的存在、存在机率、一个或更多个特性、或其组合来调整所述一个或更多个工艺参数。在实施例中,可以反复地执行确定或预测缺陷的存在、存在机率、一个或更多个特性或其组合,和调整所述一个或更多个工艺参数。
根据实施例,所述方法还包括使用调整后的所述一个或更多个光刻参数来确定或预测使用所述器件制造过程由所述PWLP中的至少一个所产生的残余缺陷的存在、存在机率、一个或更多个特性、或其组合。
根据实施例,所述方法还包括确定所述PWLP的过程窗口。
根据实施例,所述方法还包括将所述一个或更多个工艺参数编译成工艺参数图。
根据实施例,使用经验模型或计算模型来识别所述一个或更多个PWLP。
根据实施例,所述一个或更多个工艺参数系选自由如下各项所构成的组:聚焦量、剂量、源参数、投影光学装置参数、从量测获得的数据,以及来自所述加工设备的操作者的数据。
根据实施例,从衍射工具或电子显微镜获得从量测获得的所述数据。
根据实施例,使用模型或通过查询数据库而确定或预测所述一个或更多个工艺参数。
根据实施例,确定或预测缺陷的存在、存在机率、一个或更多个特性、或其组合包括比较所述一个或更多个工艺参数与所述过程窗口。
根据实施例,确定或预测缺陷的存在、存在机率、一个或更多个特性、或其组合包括使用分类模型,一个或更多个工艺参数作为到所述分类模型的输入。
根据实施例,分类模型选自包括由以下构成的组:逻辑回归及多项式分对数、机率单位回归、感知算法、支持向量机、输入向量机,及线性判别分析。
根据实施例,确定或预测所述缺陷的存在、存在机率、一个或更多个特性或其组合包括依据所述工艺参数来模拟所述PWLP中的至少一个的图像或所预期的图案形成轮廓,和测量图像或轮廓参数。
根据实施例,所述器件制造过程涉及使用光刻设备。
本发明披露了一种制造器件的方法,其涉及将图案加工至衬底上或加工至所述衬底的管芯上,所述方法包括:在加工所述衬底或所述管芯之前确定工艺参数;使用在加工所述衬底或所述管芯之前的所述工艺参数,且使用所述衬底或所述管芯的特性、待加工至所述衬底或所述管芯上的图案的几何形状的特性、或上述两者,来预测或确定缺陷的存在、缺陷的存在机率、缺陷的特性,或其组合;基于所述预测或确定而调整所述工艺参数以便消除、降低所述缺陷的机率或严重性。
根据实施例,所述方法还包括从所述图案识别出一个或更多个工艺窗口限制图案(PWLP)。
根据实施例,所述缺陷是从至少一个PWLP产生的缺陷。
根据实施例,所述衬底或所述管芯的特性是所述PWLP中至少一个的过程窗口。
本发明披露了一种制造器件的方法,其涉及将图案加工至一批衬底上,所述方法包括:加工所述一批衬底,破坏性地检查所述一批的不到2%、不到1.5%或不到1%以确定加工至所述衬底上的所述图案中的缺陷的存在。
根据实施例,使用光刻设备来加工所述一批衬底。
本发明披露了一种制造器件的方法,其包括:上文所描述的由计算机实施的缺陷预测方法;以及至少部分地基于所述缺陷的经确定或经预测的存在、存在机率、一个或更多个特性、或其组合而指示检查哪些PWLP。
根据实施例,所述缺陷是选自下列的一个或更多个:颈缩、线拉回、线薄化、CD误差、重叠、抗蚀剂顶部损耗、抗蚀剂底切和/或桥接。
本发明披露了一种用于光刻过程的缺陷确定、或预测方法,其中所述方法包括使用所述光刻过程的至少一部分的模拟来确定或预测缺陷的存在、存在机率、特性、或其组合的步骤。
根据实施例,所述光刻过程包括器件制造过程,所述器件制造过程涉及将图案加工至衬底上,所述缺陷的经确定或经预测的存在、存在机率、特性或其组合是所述图案的部分。
根据实施例,在将所述图案不可逆地加工至所述衬底上之前确定或预测所述缺陷。
根据实施例,当将所述图案蚀刻至所述衬底的至少部分中时、或当使用所述图案的至少一部分以将离子注入所述衬底中时,将所述图案不可逆地加工至所述衬底上。
根据实施例,所述方法包括针对使用所述光刻过程而加工的每个衬底确定或预测所述缺陷的存在、存在机率、特性、或其组合。
根据实施例,光刻生产工具的生产参数系决于确定或预测所述缺陷的存在、存在机率、特性、或其组合的所述步骤,所述光刻生产工具被配置用于在所述光刻过程中执行至少一个步骤。
本发明披露一种用于在光刻过程中对缺陷或可能缺陷进行分类的缺陷分类方法,所述方法包括使用所述光刻过程的至少一部分的模拟来分类所述缺陷或所述可能缺陷的步骤。
根据实施例,所述光刻过程包括器件制造过程,所述器件制造过程涉及将图案加工至衬底上。
本发明披露了一种改善光刻过程中的缺陷的捕捉速率的方法,所述方法包括使用所述光刻过程的至少一部分的模拟来确定或预测所述缺陷的存在、存在机率、特性、或其组合的步骤。
根据实施例,所述光刻过程包括器件制造过程,所述器件制造过程涉及将图案加工至衬底上。
本发明披露了一种在光刻过程中从多个图案选择待检查的图案的方法,所述方法包括至少部分地基于所述光刻过程的至少一部分的模拟而选择待检查的图案的步骤。
根据实施例,所述光刻过程包括器件制造过程,所述器件制造过程涉及将所述多个图案加工至衬底上。
根据实施例,检查已选择的所述图案以评估已选择的所述图案是否有缺陷或已选择的所述图案的一部分是否包括缺陷。
本发明披露一种在光刻过程中定义缺陷的确定或预测的准确度的方法,所述方法包括定义所述光刻过程的至少一部分的模拟的准确度的步骤,所述模拟用于确定或预测所述缺陷的存在、存在机率、特性、或其组合。
根据实施例,所述光刻过程包括器件制造过程,所述器件制造过程涉及将图案加工至衬底上。
根据实施例,所述缺陷的所述确定或预测的所述准确度高于用于所述光刻过程中的缺陷检查工具的准确度。
本发明披露了一种计算机程序产品,该计算机程序产品包括:在其上记录了指令的计算机可读介质,所述指令在被计算机执行时实施上述的方法中任一方法。
附图说明
现在将仅作为举例、参考所附的示意图来描述本发明的实施例,附图中相应的附图标记表示相应的部件,并且其中:
图1示出根据本发明一实施例的光刻设备;
图2示出根据一实施例用于确定光刻过程中的缺陷存在的方法的流程图;
图3示出工艺参数的示例性源;
图4A示出图2的步骤213的实施方式;
图4B示出图2的步骤213的替代实施方式;
图5A示出具有许多管芯的示例性衬底;
图5B示出使用传统方法而获得的有效焦深(uDOF);
图5C示出使用根据本发明所描述的实施例的方法而获得的有效焦深(uDOF);
图6示出用于处理流程的示意性流程图;
图7示出用于聚焦量的示例性图。
具体实施方式
虽然在本发明中详述了光刻设备用在制造ICs(集成电路),但是应该理解到,这里所述的光刻设备可以有其他应用,例如制造集成光学系统、磁畴存储器的引导和检测图案、液晶显示器(LCDs)、薄膜磁头等。本领域技术人员应该认识到,在这种替代应用的情况中,可以将这里使用的任何术语“晶片”或“管芯”分别认为是与更上位的术语“衬底”或“目标部分”同义。这里所指的衬底可以在曝光之前或之后进行处理,例如在轨道(一种典型地将抗蚀剂层涂到衬底上,并且对已曝光的抗蚀剂进行显影的工具)、量测工具或检查工具中。在可应用的情况下,可以将此处的所述公开内容应用于这种和其他衬底处理工具中。另外,所述衬底可以处理一次以上,例如为产生多层IC,使得这里使用的所述术语“衬底”也可以表示已经包含多个已处理层的衬底。
这里使用的术语“辐射”和“束”包含全部类型的电磁辐射,包括:紫外(UV)辐射(例如具有365、248、193、157或126nm的波长)和极紫外(EUV)辐射(例如具有5-20nm范围的波长),以及粒子束,例如离子束或电子束。
这里所使用的术语“图案形成装置”应该被广义地理解为表示能够用于将图案在辐射束的横截面上赋予辐射束、以便在衬底的目标部分上形成图案的装置。应当注意,被赋予辐射束的图案可能不与在衬底的目标部分上的所需图案完全对应。通常,被赋予辐射束的图案将与在目标部分上形成的器件中的特定的功能层相对应,例如集成电路。
图案形成装置可以是透射式的或反射式的。图案形成装置的示例包括掩模、可编程反射镜阵列以及可编程液晶显示(LCD)面板。掩模在光刻术中是公知的,并且包括诸如二元掩模类型、交替型相移掩模类型、衰减型相移掩模类型和各种混合掩模类型之类的掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,每一个小反射镜可以独立地倾斜,以便沿不同方向反射入射的辐射束;以这种方式,被反射的束被形成图案。
所述支撑结构保持图案形成装置。支撑结构以依赖于图案形成装置的方向、光刻设备的设计以及诸如图案形成装置是否保持在真空环境中等其他条件的方式保持图案形成装置。所述支撑结构可以采用机械夹持、真空或其它夹持技术,例如真空条件下的静电夹持。所述支撑结构可以是框架或台,例如,其可以根据需要成为固定的或可移动的,并且可以确保图案形成装置位于所需的位置上(例如相对于投影系统)。在这里使用的任何术语“掩模版”或“掩模”都可以认为与更上位的术语“图案形成装置”同义。
这里使用的术语“投影系统”应该广义地解释为包括各种类型的投影系统,包括折射型光学系统、反射型光学系统和反射折射型光学系统,如对于所使用的曝光辐射所适合的、或对于诸如使用浸没流体或使用真空之类的其他因素所适合的。这里使用的任何术语“投影透镜”可以认为是与更上位的术语“投影系统”同义。
照射系统还可以包括各种类型的光学部件,包括折射式的、反射式的和反射折射式光学部件,用于引导、成形或控制辐射束,并且这样的部件还可以在下文中被共同地或单独地称为“透镜”。
所述光刻设备可以是具有两个(双台)或更多衬底台(和/或两个或更多的支撑结构)的类型。在这种“多台”机器中,可以并行地使用附加的台,或可以在一个或更多个台上执行预备步骤的同时,将一个或更多个其它台用于曝光。
所述光刻设备还可以是这种类型,其中衬底被浸没在具有相对高的折射率的液体(例如水)中,以便填充投影系统的最终元件与衬底之间的空间。浸没技术在本领域是熟知的,用于提高投影系统的数值孔径。
图1示意地示出了根据本发明的具体实施例的光刻设备。所述光刻设备包括:
照射系统(照射器)IL,其用于调节辐射束PB(例如,紫外(UV)辐射或深紫外(DUV)辐射);
支撑结构MT,其用于支撑图案形成装置(例如掩模)MA,并与用于相对于物体PL精确地定位图案形成装置的第一定位装置PM相连;
衬底台(例如晶片台)WT,其用于保持衬底(例如涂覆有抗蚀剂的晶片)W,并与相对于物体PL精确地定位衬底的第二定位装置PW相连;和
投影系统(例如折射式投影透镜)PL,其配置成用于将由图案形成装置MA赋予辐射束PB的图案成像到衬底W的目标部分C(例如包括一根或多根管芯)上。
如这里所示的,所述设备是透射型的(例如,采用透射式掩模)。替代地,所述设备可以是反射型的(例如,采用如上所述类型的可编程反射镜阵列)。
所述照射器IL接收从辐射源SO发出的辐射束。该源和所述光刻设备可以是分立的实体(例如当该源为准分子激光器时)。在这种情况下,不会将该源考虑成形成光刻设备的一部分,并且通过包括例如合适的定向反射镜和/或扩束器的束传递系统BD的帮助,将所述辐射束从所述源SO传到所述照射器IL。在其它情况下,所述源可以是所述光刻设备的组成部分(例如当所述源是汞灯时)。可以将所述源SO和所述照射器IL、以及如果需要时设置的所述束传递系统BD一起称作辐射系统。
照射器IL可以改变所述束的强度分布。所述照射器可以布置成用以限制所述辐射束的径向范围,从而使得强度分布在照射器IL的光瞳平面中的环形区域内为非零的。另外地或替代地,所述照射器IL可以是可操作的,用以限制所述束在光瞳平面中的分布、从而使得所述强度分布在光瞳平面中在多个均等地间隔开的扇区中是非零的。所述辐射束在所述照射器IL的光瞳平面中的强度分布可以被称为是照射模式。
所述照射器IL可以包括被配置用于调整所述束的强度分布的调节器AM。通常,可以对所述照射器的光瞳平面中的强度分布的至少所述外部和/或内部径向范围(一般分别称为σ-外部和σ-内部)进行调整。照射器IL可以是可操作的、用以改变所述束的角分布。例如,所述照射器可操作以改变在光瞳平面中的强度分布为非零的扇区的数目和角范围。通过调整在照射器的光瞳平面中的束的强度分布,可以实现不同照射模式。例如,通过限制在照射器IL的光瞳平面中的强度分布的径向和角范围,所述强度分布可以具有多极分布,诸如例如双极分布、四极分布或六极分布。例如,通过插入一种光学装置,其提供进入所述照射器IL的照射模式,或使用空间光调制器,则可以获得所需照射模式。
照射器IL可操作改变所述束的偏振并且可操作以使用调节器AM调节偏振。所述辐射束在所述照射器IL的整个光瞳平面上的偏振状态可以被称为是偏振模式。使用不同的偏振模式可以允许在衬底W上所形成的图像中实现更大的对比度。所述辐射束可以是非偏振化的。替代地,所述照射器可以被布置成用以线性地偏振所述辐射束。所述辐射束的偏振方向可以在所述照射器IL的整个光瞳平面上变化。所述辐射的偏振方向可以在所述照射器IL的光瞳平面中在不同区域中不同。可以根据照射模式选择所述辐射的偏振状态。对于多极照射模式,所述辐射束的每个极的偏振可以大致与所述照射器IL的光瞳平面中的该极的位置矢量垂直。例如,对于偶极照射模式,辐射可以在基本上与平分开所述双极的两个相对扇区的线垂直的方向上被线性地偏振。所述辐射束可以在可称为X偏振状态和Y偏振状态的两个不同的正交方向之一上被偏振。对于四极照射模式,在每个极的扇区中的辐射可以在基本上与平分开所述扇区的线垂直的方向上线性地偏振。此偏振模式可以被称为XY偏振。类似地,对于六极照射模式,在每个极的扇区中的辐射可以在基本上与平分开所述扇区的线垂直的方向上线性地偏振。此偏振模式可以被称为TE偏振。
此外,所述照射器IL可以包括各种其它部件,例如积分器IN和聚光器CO。照射器提供被调节的辐射束PB,在其横截面中具有所需的均匀性和强度分布。
所述辐射束PB入射到保持在支撑结构MT上的所述图案形成装置(例如,掩模)MA上。已经穿过图案形成装置MA之后,所述束B通过透镜PL,所述透镜将束聚焦到所述衬底W的目标部分C上。通过第二定位装置PW和位置传感器IF(例如,干涉仪装置)的帮助,可以精确地移动所述衬底台WT,例如以便将不同的目标部分C定位于所述束PB的路径中。类似地,例如在从掩模库的机械获取之后,或在扫描期间,可以将所述第一定位装置PM和另一个位置传感器(图1中未明确示出)用于相对于所述束B的路径精确地定位图案形成装置MA。通常,可以通过形成所述定位装置PM和PW的一部分的长行程模块(粗定位)和短行程模块(精定位)的帮助来实现物体台MT和WT的移动。然而,在步进机的情况下(与扫描器相反),支撑结构MT可以仅与短行程致动器相连,或可以是固定的。可以使用图案形成装置对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置MA和衬底W。
可以将所示的设备用于以下优选模式中:
1.在步进模式中,在将支撑结构MT和衬底台WT保持为基本静止的同时,将赋予所述束PB的整个图案一次投影到目标部分C上(即,单一的静态曝光)。然后将所述衬底台WT沿X和/或Y方向移动,使得可以对不同目标部分C曝光。在步进模式中,曝光场的最大尺寸限制了在单一的静态曝光中成像的所述目标部分C的尺寸。
2.在扫描模式中,在对支撑结构MT和衬底台WT同步地进行扫描的同时,将赋予所述束PB的图案投影到目标部分C上(即,单一的动态曝光)。衬底台WT相对于支撑结构MT的速度和方向可以通过所述投影系统PL的(缩小)放大率和图像反转特征来确定。在扫描模式中,曝光场的最大尺寸限制了单一动态曝光中所述目标部分的宽度(沿非扫描方向),而所述扫描运动的长度确定了所述目标部分的高度(沿所述扫描方向)。
3.在另一个模式中,将用于保持可编程图案形成装置的支撑结构MT保持为基本静止,并且在对所述衬底台WT进行移动或扫描的同时,将赋予所述束PB的图案投影到目标部分C上。在这种模式中,通常采用脉冲辐射源,并且在所述衬底台WT的每一次移动之后、或在扫描期间的连续辐射脉冲之间,根据需要更新所述可编程图案形成装置。这种操作模式可易于应用于利用可编程图案形成装置(例如,如上所述类型的可编程反射镜阵列)的无掩模光刻术中。
也可以采用上述使用模式的组合和/或变体,或完全不同的使用模式。
投影系统PL具有可以是不均匀的光学传递函数,所述光学传递函数能够影响在衬底W上所成像的图案。对于非偏振辐射,这样的效果可以由两个纯量图或标量图而极佳地进行描述,所述标量图根据其在光瞳平面中的位置的函数描述从投影系统PL离开的辐射的透射(变迹)和相对相位(像差)。这些标量图可以被称为透射图和相对相位图,且可以被表述为完整组的基础函数的线性组合。特别便利的组是泽尼克多项式,其形成在单位圆上所限定的一组正交多项式。每个标量图的确定可能包括确定这样的展开式中的系数。由于泽尼克多项式在单位圆上正交,则可以通过依次计算出所测量的标量图与每个泽尼克多项式的内积,并且将其除以该泽尼克多项式的范数的平方,来确定所述泽尼克系数。
透射图和相对的相位图是依赖于场和系统的。即,一般而言,每个投影系统PL将对于每个场点(即,对于其像平面的每个空间位置而言)具有不同泽尼克展开式。所述投影系统PL在其光瞳平面中的相对相位可以通过投射例如来自于投影系统PL的物平面(即,所述图案形成装置MA的平面)中的点状源的、经过所述投影系统PL的辐射并且使用剪切干涉仪来测量波前(即,具有相同相位的点的轨迹)而确定。剪切干涉仪是一种共用路径干涉仪,并且因此有利地,不需要二次参考束来测量所述波前。剪切干涉仪可以包括所述投影系统(即,衬底台WT)的像平面中的衍射光栅,例如,两维栅格,以及被布置用以检测与所述投影系统PL的光瞳平面共轭的平面中的干涉图案的检测器。干涉图案是与所述辐射的相位相对于光瞳平面中的坐标在所述剪切方向上的导数相关的。检测器可包括感测元件的阵列,诸如以电荷耦合装置(CCD)为例。
可以在两个垂直方向上依序扫描所述衍射光栅,所述两个垂直方向可以与所述投影系统PL的坐标系的轴(x和y)一致或者可以与这些轴成诸如45度的角度。可以在整数光栅周期(例如一个光栅周期)上执行扫描。所述扫描在一个方向上平均了相位变化,允许重构在另一方向上的相位变化。这允许所述波前被确定为两个方向的函数。
当前技术水平的光刻设备LA的投影系统PL可以不产生可见边缘(fringes),并且因此通过使用相位步进技术(例如移动所述衍射光栅)可以增加确定所述波前的精确度。步进可以在衍射光栅的平面中并且在与所述测量的扫描方向垂直的方向上执行。步进范围可以是一个光栅周期,并且可以使用至少三个(均匀分布的)相位阶跃(phase steps)。因而,例如,可以在y方向执行三个扫描测量,每个扫描测量对于在x方向上的不同位置执行。衍射光栅的这种步进或阶跃将相位变化有效地变换为强度变化,允许确定相位信息。光栅可以在与衍射光栅垂直的方向(z方向)上步进以校准所述检测器。
所述投影系统PL在其光瞳平面中的透射(变迹)可以通过投射例如来自于投影系统PL的物平面(即,所述图案形成装置MA的平面)中的点状源的、经过所述投影系统PL的辐射并且使用检测器来测量在与所述投影系统PL的光瞳平面共轭的平面中的辐射的强度而确定。可以使用与用来测量所述波前以确定像差的检测器相同的检测器。投影系统PL可包括多个光学(例如透镜)元件并且还可包括调整机构PA,所述调整机构PA被配置用以调整一个或更多个光学元件以便校正像差(遍及所述场的整个光瞳平面上的相位变化)。为实现这个目的,调整机构PA可操作以在所述投影系统PL内用一种或更多种不同方式操纵一个或更多个光学(例如透镜)元件。所述投影系统可以具有其光轴在z方向延伸的坐标系。所述调整机构PA可操作以进行下列任何组合:将一个或更多个光学元件移位;倾斜一个或更多个光学元件;和/或使得一个或更多个光学元件变形。所述光学元件的移位可以在任何方向(x、y、z或其组合)上。所述光学元件的倾斜通常在与光轴垂直的平面以外,通过绕在x或y方向上的轴线旋转而进行,尽管绕z轴线的旋转可以用于非旋转对称的非球面光学元件。光学元件的变形可以包括低频形状(例如象散)和高频形状(例如,自由形式非球面)。可以例如通过使用一个或更多个致动器施加力于所述光学元件的一个或更多个侧面上,和/或通过使用一个或更多个加热元件来加热所述光学元件的一个或更多个选定区域,来执行光学元件的变形。一般而言,不可能调整所述投影系统PL以校正变迹(在整个光瞳平面上的透射变化)。当设计一种图案形成装置(例如掩模)MA用于所述光刻设备LA时,可以使用投影系统PL的透射图。使用计算光刻技术,所述图案形成装置MA可以被设计用来至少部分地校正变迹。
图案形成装置上的各种图案可具有不同工艺窗口或过程窗口(即,将在规格内产生图案所依据的工艺参数的空间)。涉及到潜在系统性缺陷的图案规格的实例包括检查颈缩、线拉回、线薄化、CD、边缘置放、重叠、抗蚀剂顶部损耗、抗蚀剂底切及桥接。可通过合并(例如,重叠)每一个别图案的过程窗口来获得图案形成装置上的所有图案的过程窗口。所有图案的过程窗口的边界包含一些个别图案的过程窗口的边界。换言之,这些个别图案限制所有图案的过程窗口。这些图案可被称作“热点”或“工艺窗口限制图案(PWLP)”,“热点”与“工艺窗口限制图案(PWLP)”可在本发明中可互换地使用。当控制光刻过程时,有可能集中于热点且集中于热点是低成本的。当热点没有缺陷时,最可能的是,所有图案没有缺陷。
工艺参数可随着衬底上的位置且随着时间(例如,在衬底之间、在管芯之间)而变化。这些变化可由诸如温度及湿度的环境的改变造成。这些变化的其他原因可包括诸如源、投影光学装置、衬底台的加工设备中的一个或更多个部件的偏移,光刻设备中的衬底表面的高度变化等等。意识到这些变化和它们对PWLP的影响或潜在图案形成缺陷、且调整光刻过程以适应这些变化以便减少实际缺陷将会是有益的。为了减少追踪这些变化的计算成本,同样可仅监视热点。
图2示出根据一实施例用于确定光刻过程中的缺陷存在的方法的流程图。在步骤211中,使用任何合适方法根据图案(例如,图案形成装置上的图案)来识别热点或其部位。例如,可通过使用经验模型或计算模型来分析图案上的图案而识别热点。在经验模型中,没有对图案的图像(例如,抗蚀剂图像、光学图像、蚀刻图像)进行模拟;替代地,经验模型基于工艺参数、图案的参数、与缺陷之间的相关性来预测缺陷或缺陷机率。例如,经验模型可以是分类模型,或有缺陷倾向的图案的数据库。在计算模型中,计算或模拟了图像的一部分或特性,且基于该部分或该特性来识别缺陷。例如,可通过找出过于远离所需部位的线端来识别线拉回缺陷;可通过找出两条线不理想地接合的部位来识别桥接缺陷;可通过找出分离层上的不理想地重叠或不理想地不重叠的两个特征来识别重叠缺陷。经验模型相比于计算模型通常在计算上不太昂贵。有可能基于个别热点的热点部位及过程窗口来确定热点的过程窗口和/或将热点的过程窗口编译成图,即,将过程窗口确定为部位的函数。此过程窗口图可表征图案的特定于布局的灵敏度及加工余量。在另一实例中,可诸如通过FEM晶片检查或合适量测工具来实验地确定热点、它们的部位和/或它们的过程窗口。缺陷可包括在显影后检查(ADI)(通常为光学检查)中无法检测的那些缺陷,诸如,抗蚀剂顶部损耗、抗蚀剂底切,等等。常规检查仅揭露在不可逆地加工(例如,蚀刻、离子植入)衬底之后的这些缺陷,此时无法再加工所述晶片。因此,无法使用在撰写此文档时当前光学技术来检测这些抗蚀剂顶部损耗缺陷。然而,模拟可用来确定可在何处可发生抗蚀剂顶部损耗且严重性将达到何种程度。基于此信息,可决定使用更准确的检查方法(且通常更耗时)来检查特定可能缺陷以确定缺陷是否需要再加工/返工,或可决定在进行不可逆加工(例如,蚀刻)之前再加工特定抗蚀剂层的成像(移除具有抗蚀剂顶部损耗缺陷的抗蚀剂层且重新涂布晶片以重新进行该特定层的成像)。
在步骤212中,确定了热点被加工(例如,成像或蚀刻至衬底上)所依据的工艺参数。工艺参数可以是局部的,即取决于热点的部位、管芯的部位,或此两者。工艺参数可以是全局的,即与热点及管芯的部位无关。一种用以确定工艺参数的示例性方式是确定光刻设备的状态。例如,可从光刻设备测量出激光带宽、聚焦量、剂量、源参数、投影光学装置参数、及这些参数的空间或时间变化。另一示例性方式是根据对衬底上执行的量测而获得的数据、或由加工设备的操作者来推断工艺参数。例如,量测可包括使用衍射工具(例如,ASMLYieldStar)、电子显微镜、或其他合适检查工具来检查衬底。有可能获得关于加工后的衬底上的任何部位(包括经识别的热点)的工艺参数。可将工艺参数编译成图——光刻参数、或工艺条件作为部位的函数。图7示出用于聚焦量的示例性图。当然,其他工艺参数可被表示为部位的函数,即,图。在实施例中,可在加工每个热点之前且优选地紧接在加工每个热点之前确定工艺参数。在替代实施例中,此图包括可由不同数据源组成的工艺参数。例如,为了估计聚焦误差,可将来自量测系统(例如,基于衍射的量测系统,诸如,ASML YieldStar)的晶片量测数据与来自光刻曝光工具(例如,来自光刻曝光工具的用以在将辐射敏感层曝光于衬底上之前调平(level)在光刻曝光工具的曝光光学装置下方的曝光表面的调平系统)的数据进行组合。不同数据源之一可例如包括相对高的数据密度,而另一数据源可例如包括较少数据点但更准确的数据值。将这两个不同数据源组合使能够产生工艺参数图,其中相对高密度的数据也由于对另一数据源的较少、较准确数据点的校准而相对准确。可例如通过将光刻工具的全图像场划分成例如大约1×1mm大小的子区域并且根据这些子区域中的图案分析来确定工艺参数图(例如,确定焦深图、剂量宽容度图、聚焦量图或剂量偏移图)而产生此工艺参数图。接下来,指定每个子区域中的工艺参数值的数目从而使得该子区域中的每个像素包括工艺参数的值(像素大小取决于该子区域内部的数据密度)。甚至进一步替代地,可针对包括图案且用以使用光刻工具将图案转移至衬底上的特定掩膜版或掩膜而产生此工艺参数图。这将会导致特定用于特定掩膜版的工艺参数图。随后,例如使用通过使用特定光刻曝光工具的模型而进行的模拟,甚至可允许将该光刻曝光工具的特性签名包括到工艺参数图中,从而使得工艺参数图甚至可变成掩膜版及曝光工具特定的。对多个工具执行这些模拟甚至可允许使用者从多个光刻工具选择最佳光刻工具以使特定掩膜版成像(当然不考虑所述光刻曝光工具的任何临时偏移)。此曝光工具特定图也可用来允许调整除工艺参数图中所映射的工艺参数值以外的其他工艺参数值,以确保可能需要在非有利工艺参数值下成像的PWLP仍在规格内得以成像。例如,当特定PWLP可能在聚焦时未正确地成像(这可能对该PWLP的临界尺寸有影响)时,诸如剂量的其他工艺参数值可被调适(可能局域地进行)以确保该PWLP的总尺寸仍在规格内。最后,上述工艺参数图中每个可例如被转换成一种约束图。此约束图可例如指示在那个范围内在某部位处的工艺参数可变化而不危害PWLP。替代地,约束图可例如包含权重图,该权重图指示出所述设计的哪些区域需要使工艺参数接近于最佳参数设定且该设计的何种区域允许较大范围的工艺参数值。
在步骤213中,使用热点被加工所依据的工艺参数来确定了该热点处的缺陷的存在、存在机率、特性或其组合。此确定可以仅与所述工艺参数与热点的过程窗口进行比较,即:若工艺参数落在过程窗口内,则不存在缺陷;若工艺参数落在过程窗口外,则将预期存在至少一个缺陷。也可使用合适经验模型(包括统计模型)来进行此确定。例如,分类模型可用来提供缺陷的存在机率。用以进行此确定的另一方式是使用计算模型以在工艺参数下模拟热点的图像或所预期的图案形成轮廓并且测量所述图像或轮廓参数。在实施例中,所述工艺参数可以在加工图案或衬底之后即刻被确定(即,在加工图案或下一衬底之前)。缺陷的经确定的存在及/或特性可用作用于处置(再加工或接受)的决策的依据。在实施例中,工艺参数可用来计算光刻参数的移动平均值。移动平均值在捕捉光刻参数的长期偏移方面是有用的,而不受到短期波动的扰乱。
在可选步骤214中,可使用如步骤213中所确定的存在、存在机率、特性或其组合来调整工艺参数(即,预测或确定被反馈以调整所述工艺参数),从而使得消除缺陷或降低缺陷的严重性。例如,如果热点位于衬底的凸块上,则改变聚焦量可消除该热点上的缺陷。优选地,刚好在加工每个热点之前调整工艺参数。步骤213及214可以反复进行。也可以在一个或更多个衬底的加工之后、尤其当确定了工艺参数的平均值(例如,移动平均值)时调整所述工艺参数,以便补偿系统性的或缓慢变化的工艺变化,或处理较大数目的可调整工艺参数。工艺参数的调整可包括聚焦量、剂量、源或光瞳相位调整。
在可选步骤215中,可使用经调整的工艺参数来确定残余缺陷的存在和/或特性。残余缺陷是无法通过调整所述工艺参数而消除的缺陷。此确定可以仅与经调整的所述工艺参数与热点的过程窗口进行比较,即:如果工艺参数落在过程窗口内,则预期不存在残余缺陷;如果工艺参数落在过程窗口外,则将预期存在至少一个残余缺陷。也可使用合适经验模型(包括统计模型)来进行此确定。例如,分类模型可用以提供残余缺陷的存在机率。用以进行此确定的另一方式是使用计算模型以根据经调整的工艺参数来模拟热点的图像或所预期的图案形成轮廓并且测量所述图像或轮廓参数。残余缺陷的经确定的存在和/或特性可用作用于处置(再加工或接受)的决策的依据。
可选地,可以至少部分地基于残余缺陷的经确定或经预测的存在、存在机率、一个或更多个特性或其组合做出使热点经受检查的指示。例如,如果衬底具有存在一个或更多个残余缺陷的机率,则该衬底可经受衬底检查。残余缺陷的预测或确定前馈至检查。
图3示出工艺参数350的示例性源。一个源可以是加工设备的数据310,诸如,光刻设备的源、投影光学装置、衬底台等等的参数。另一源可以是来自各种衬底量测工具的数据320,诸如,晶片高度图、聚焦量图、CDU图,等等。可在使衬底经受一步骤(例如,蚀刻)(防止衬底的再加工)之前获得数据320。另一源可以是来自各种图案形成装置量测工具、掩膜CDU图、掩膜薄膜叠层参数变化等等的数据330。还一源可以是来自加工设备的操作者的数据340。
图4A示出图2的步骤213的实施方式。在步骤411中,通过使用模型或通过查询数据库而获得了热点的过程窗口。例如,过程窗口可以是由工艺参数(诸如聚焦量及剂量)所跨越的空间。在步骤412中,将图2的步骤212中所确定的工艺参数与过程窗口进行比较。如果工艺参数落在过程窗口内,则不存在缺陷;如果工艺参数落在过程窗口外,则预期存在至少一个缺陷。
图4B示出图2的步骤213的替代实施方式。工艺参数420可用作至分类模型430的输入(例如,独立变量)。工艺参数420可包括源的特性(例如,强度、光瞳外形或轮廓,等等)、投影光学装置的特性、剂量、聚焦量、抗蚀剂的特性、抗蚀剂的显影及曝光后烘烤的特性,及蚀刻的特性。术语“分类器”或“分类模型”有时也指通过分类算法实施的将输人数据映射至类别的数学函数。在机器学习及统计学中,分类是基于包含其的类别成员资格是已知的观测(或实例)的数据的训练集来识别出新观测属于那一组类别440(子群)的问题。个别观测被分析成一组可定量属性,其被称为各种解释性变量、特征,等等。这些属性可以是以各种方式分类的(例如,“良好”(即不产生缺陷的光刻过程),或“不良”(即产生缺陷的光刻过程);“类型1”、“类型2”、……“类型n”(即不同类型的缺陷))。分类被认为是监督学习的实例,即,经正确识别的观测的训练集是可用的学习。分类模型的实例是逻辑回归及多项式分对数(logit)、机率单位(probit)回归、感知算法、支持向量机、输入向量机,及线性判别分析。
工艺参数的一个实例是衬底调平。图5A示出具有许多管芯(描绘为栅格)的示例性衬底。在所调用的管芯中,识别了热点(被描绘为圆圈)连同该管芯中的图案中的较不关键位置(即,并非过程窗口限制的位置,其被描绘为菱形)。图5B示出使用传统方法而获得的有效焦深(uDOF)。uDOF是落在曝光狭缝中的所有图案的过程窗口内的聚焦深度。图5C示出使用根据本发明所描述的实施例的方法而获得的有效焦深(uDOF),其中通过调整包括衬底调平的工艺参数,较不关键位置区(菱形)被允许偏移或漂移更远离它们的相应最佳焦点以而使热点(圆圈)的最佳焦点更接近,由此增加uDOF。
根据实施例,本发明所描述的方法允许针对每个衬底或甚至每个管芯调整工艺参数。图6示出用于加工流程的示意性流程图。在步骤610中,紧接在加工衬底或管芯之前确定了工艺参数(例如,在加工紧接的前一衬底或管芯之后)。在步骤620中,使用紧接在加工衬底或管芯之前的工艺参数、且使用衬底或管芯的特性(例如,如从对衬底或管芯的量测所确定的)和/或待加工至衬底或管芯上的图案的几何形状的特性来进行对于缺陷的存在、缺陷的存在机率、缺陷的特性或其组合的预测或确定。在步骤630中,基于预测而调整了工艺参数以便消除、减少缺陷的机率或严重性。替代地,从待加工的布局的模拟可知,PWLP可位于管芯内的特定区域处。在此情形下,成像工具中的确保管芯在成像工具中曝光之前的调平的系统可确保此特定区域焦点对准,从而允许管芯的其他区域从焦点进一步转向以确保PWLP是在规格内成像的。模拟可进一步用来确定较不关键结构是否由于归因于包含PWLP的区域的优选调平准确度而造成的不太有利加工条件而仍正确地成像。模拟也可用以确保实际上在设计中找到所有类型的PWLP、且所有PWLP的部位实际上是已知的且优选地置于PWLP图中。此外,可跨越整个晶片设计来应用搜寻算法以找出例如已知的且可例如在一种“热点数据库”中列出的PWLP。尽管可能稍微不太准确,但是此搜寻算法相比于对全芯片设计进行模拟而言更快,且可用来相对快速地找到已知的PWLP。根据实施例,本发明所描述的方法允许在一生产批量之中检查较少衬底,而同时维持了与在常规加工流程中的缺陷率相当的缺陷率。常规加工流程涉及加工(例如,在光刻设备中曝光)一批衬底,该批衬底的2%至3%或更多必须经检查以便捕获大多数缺陷。通过使用根据当前实施例的缺陷预测方法,使用了可用的量测数据来虚拟地检查晶片并且预测这些晶片上的可能缺陷。因为根据所述实施例的缺陷预测方法是虚拟的,所以光刻过程中所产生的基本上每个晶片都可被“虚拟地”检查且因此达到大体上100%的检查涵盖率。此广泛的“虚拟”检查也提供较多反馈数据,能实现较准确且较快的校正性动作查看或表现,其通常减少光刻曝光工具中的任何偏移或漂移。
本发明还可使用下列方面加以描述:
1.一种用于器件制造过程的由计算机实施的缺陷确定或预测方法,所述器件制造过程涉及将图案加工至衬底上,所述方法包括:
从所述图案识别出工艺窗口限制图案(PWLP);
确定所述工艺窗口限制图案被加工所依据的工艺参数;和
使用所述工艺参数来确定或预测利用所述器件制造过程由所述PWLP产生的缺陷的存在、存在机率、特性、或其组合。
2.根据方面1所述的方法,其中确定或预测所述存在、所述存在机率、所述特性或其组合进一步使用所述PWLP的特性、所述图案的特性,或上述两者。
3.根据方面1或方面2所述的方法,还包括:使用缺陷的存在、存在机率、特性或其组合来调整所述工艺参数。
4.根据方面3所述的方法,还包含反复地执行确定或预测所述缺陷的存在、存在机率、特性或其组合,并且调整所述工艺参数。
5.根据方面3或方面4所述的方法,还包括使用调整后的所述工艺参数来确定或预测利用所述器件制造过程由所述PWLP产生的残余缺陷的存在、存在机率、特性、或其组合。
6.根据方面5所述的方法,还包括:至少部分地基于残余缺陷的经确定或经预测的存在、存在机率、特性或其组合而指示出检查多个PWLP中的哪个。
7.根据方面1至6中任一个所述的方法,还包括确定所述PWLP的过程窗口。
8.根据方面7所述的方法,其中所述确定或预测缺陷的存在、存在机率、特性或其该组合包括比较所述工艺参数与所述过程窗口。
9.根据方面1至8中任一个所述的方法,还包括将所述工艺参数编译成工艺参数图。
10.根据方面1至9中任一个所述的方法,其中使用经验模型或计算模型来识别所述PWLP。
11.根据方面1至10中任一个所述的方法,其中所述工艺参数是选自下列中的任一个或更多个:聚焦量、剂量、源参数、投影光学装置参数、从量测获得的数据,和/或来自所述器件制造过程中所使用的加工设备的操作者的数据。
12.根据方面11所述的方法,其中所述工艺参数是从量测获得的数据,且从量测获得的所述数据是从衍射工具或电子显微镜获得的。
13.根据方面1至12中任一个所述的方法,其中使用模型或通过查询数据库而确定或预测所述工艺参数。
14.根据方面1至13中任一个所述的方法,其中所述确定或预测缺陷的存在、存在机率、特性或其组合包括使用分类模型,且所述工艺参数作为至所述分类模型的输入。
15.根据方面14所述的方法,其中所述分类模型选自包括以下所构成的组:逻辑回归及多项式分对数、机率单位回归、感知算法、支持向量机、输入向量机,及线性判别分析。
16.根据方面1至12中任一个所述的方法,其中所述确定或预测缺陷的存在、存在机率、特性或其组合包括依据所述工艺参数来模拟所述PWLP的图像或所预期的图案形成轮廓,和确定图像或轮廓参数。
17.根据方面1至16中任一个所述的方法,其中所述器件制造过程涉及使用光刻设备。
18.根据方面1至17中任一个所述的方法,其中紧接在加工所述PWLP之前确定所述工艺参数。
19.根据方面1至18中任一个所述的方法,其中所述工艺参数选自局部工艺参数或全局工艺参数。
20.根据方面1至19中任一个所述的方法,其中识别所述PWLP包括识别其部位。
21.根据方面1至20中任一个所述的方法,所述缺陷在不可逆地加工所述衬底之前是不能够检测的。
22.一种制造器件的方法,其涉及将图案加工至衬底上或加工至所述衬底的管芯上,所述方法包括:
在加工所述衬底或所述管芯之前确定工艺参数;
使用在加工所述衬底或所述管芯之前的所述工艺参数,且使用所述衬底或所述管芯的特性、待加工至所述衬底或所述管芯上的图案的几何形状的特性、或上述两者,来预测或确定缺陷的存在、缺陷的存在机率、缺陷的特性,或其组合;
基于所述预测或确定而调整所述工艺参数以便消除、减少所述缺陷的机率或降低所述缺陷的严重性。
23.根据方面22所述的方法,还包括从所述图案识别出工艺窗口限制图案(PWLP)。
24.根据方面23所述的方法,其中所述缺陷是由所述PWLP产生的缺陷。
25.根据方面23所述的方法,其中所述衬底或所述管芯的所述特性是所述PWLP的过程窗口。
26.一种制造器件的方法,其涉及将图案加工至一批衬底上,所述方法包括:加工所述一批衬底,且破坏性地检查所述一批的不到2%、不到1.5%或不到1%以确定加工至所述衬底上的所述图案中的缺陷的存在。
27.根据方面26所述的方法,其中使用光刻设备来加工所述一批衬底。
28.一种制造器件的方法,其包括:
根据方面1至27中任一个所述的计算机实施的缺陷预测方法;和
至少部分地基于所述缺陷的经确定或经预测的存在、存在机率、特性、或其组合而指示出检查多个PWLP中的哪个。
29.根据方面1至28中任一个所述的方法,所述缺陷是选自下列的一个或更多个:颈缩、线拉回、线薄化、CD误差、重叠、抗蚀剂顶部损耗、抗蚀剂底切和/或桥接。
30.一种计算机程序产品,该计算机程序产品包括:在其上记录了指令的计算机可读介质,所述指令在被计算机执行时实施根据方面1至29中任一个所述的方法。
31.一种用于光刻过程的缺陷确定或预测方法,其中所述方法包括使用所述光刻过程的至少一部分的模拟来确定或预测缺陷的存在、存在机率、特性、或其组合的步骤。
32.根据方面31所述的缺陷确定或预测方法,其中所述光刻过程包括器件制造过程,所述器件制造过程涉及将图案加工至衬底上,所述缺陷的经确定或经预测的存在、存在机率、特性或其组合是所述图案的部分。
33.根据方面32所述的缺陷确定或预测方法,其中在将所述图案不可逆地加工至所述衬底上之前确定或预测所述缺陷。
34.根据方面33所述的缺陷确定或预测方法,其中当将所述图案被蚀刻至所述衬底的至少部分中时、或当使用所述图案的至少一部分被用于将离子注入所述衬底中时,将所述图案不可逆地加工至所述衬底上。
35.根据方面31至34中任一个所述的缺陷确定或预测方法,其中所述方法包括针对使用所述光刻过程而加工的每个衬底确定或预测所述缺陷的存在、存在机率、特性、或其组合。
36.根据方面31至35中任一个所述的缺陷确定或预测方法,其中光刻生产工具的生产参数取决于确定或预测所述缺陷的存在、存在机率、特性、或其组合的所述步骤,所述光刻生产工具被配置用于在所述光刻过程中执行至少一个步骤。
37.一种用于在光刻过程中对缺陷或可能缺陷进行分类的缺陷分类方法,所述方法包括使用所述光刻过程的至少一部分的模拟来分类所述缺陷或所述可能缺陷的步骤。
38.根据方面37所述的缺陷分类方法,其中所述光刻过程包括器件制造过程,所述器件制造过程涉及将图案加工至衬底上。
39.一种改善光刻过程中的缺陷的捕捉速率的方法,所述方法包括使用所述光刻过程的至少一部分的模拟来确定或预测所述缺陷的存在、存在机率、特性、或其组合的步骤。
40.根据方面39所述的方法,其中所述光刻过程包括器件制造过程,所述器件制造过程涉及将图案加工至衬底上。
41.一种在光刻过程中从多个图案选择待检查的图案的方法,所述方法包括至少部分地基于所述光刻过程的至少一部分的模拟而选择所述待检查的图案的步骤。
42.根据方面41所述的方法,其中所述光刻过程包括器件制造过程,所述器件制造过程涉及将所述多个图案加工至衬底上。
43.根据方面41和42中任一个所述的方法,其中检查被选择的所述案以评估被选择的所述图案是否有缺陷或被选择的所述图案的一部分是否包括缺陷。
44.一种在光刻过程中定义缺陷的确定或预测的准确度的方法,所述方法包括定义所述光刻过程的至少一部分的模拟的准确度的步骤,所述模拟用于确定或预测所述缺陷的存在、存在机率、特性、或其组合。
45.根据方面44所述的方法,其中所述光刻过程包括器件制造过程,所述器件制造过程涉及将图案加工至衬底上。
46.根据方面44和45中任一个所述的方法,其中所述缺陷的所述确定或预测的所述准确度高于用于所述光刻过程中的缺陷检查工具的准确度。
47.一种计算机程序产品,该计算机程序产品包括:在其上记录了指令的计算机可读介质,所述指令在被计算机执行时实施根据方面31至46中任一个所述的方法。
48.根据方面47所述的计算机可读介质,其中所述机器可执行指令还包括用于使用从远程计算机至所述计算机可读介质的连接而启动所述方法步骤中的至少一些的指令。
49.根据方面48所述的计算机可读介质,其中与远程计算机的连接是安全连接。
50.根据方面48和49中任一个所述的计算机可读介质,其中所述工艺参数由远程计算机提供。
51.根据方面50所述的计算机可读介质,其中所述方法还被配置成用于将使用所述工艺参数而将利用所述器件制造过程所产生的缺陷的所述存在、存在机率、特性、或其组合的所述确定或预测往回提供至所述远程计算机。
52.一种缺陷检查系统,其被配置成用于检查使用根据方面1至46中任一个所述的方法或使用根据方面47至51中任一个所述的计算机可读介质而确定或预测的工艺窗口限制图案。
53.根据方面52所述的缺陷检查系统,其中所述远程计算机是所述缺陷检查系统的部分。
54.一种衬底,包括工艺窗口限制图案(PWLP)且还包括量测目标,所述量测目标用于确定所述工艺窗口限制图案被加工所依据的工艺参数,以用于利用根据方面1至46中任一个所述的方法的器件制造过程或根据方面47至51中任一个所述的计算机可读介质来确定或预测从所述PWLP而产生的缺陷的存在、存在机率、特性、或其组合。
55.根据方面54所述的衬底,其中所述衬底是包括集成电路的至少一些层的晶片。
56.一种光刻成像设备,其被配置用于使工艺窗口限制图案成像且还被配置用于确定所述工艺窗口限制图案被加工所依据的工艺参数。
57.根据方面56所述的光刻成像设备,其中所述光刻成像设备包括远程计算机,所述远程计算机用于将所述工艺参数提供给根据方面50所述的计算机可读介质。
58.一种数据库,其包括用于根据方面1至46中任一个所述的方法中或根据方面47至51中任一个所述的计算机可读介质中的工艺参数。
59.根据方面58所述的数据库,其中所述数据库还包括与所述工艺参数相关联的所述工艺窗口限制图案。
60.一种包括根据方面58和59中任一个所述的数据库的数据载体。
本发明的实施例可以在硬件、固件、软件、或它们的任意组合中被实施。本发明的实施例也可实施为储存于机器可读取介质上的指令,其可以由一个或更多个处理器读取并且执行。机器可读取介质可包括用于以可由机器(例如,计算装置)读取的形式储存或传输信息的任何机制。例如,机器可读取介质可包括:只读存储器(ROM);随机存取存储器(RAM);磁盘储存介质;光学储存介质;闪速存储器装置;电、光、声或其它形式的传播信号(例如,载波,红外信号,数字信号,等等),以及其它。此外,固件、软件、例行程序、指令可以在本发明中描述为执行特定动作。然而,应理解到,这样的描述仅仅是为了便利起见并且这样的动作实际上源自计算装置、处理器、控制器、或执行所述固件、软件、例行程序、指令等的其它装置。
尽管本发明的具体实施例已在上面描述,将理解到本发明可以用如所描述以外的其它方式实施。说明书并非旨在限制本发明。

Claims (10)

1.一种用于器件制造过程的缺陷确定或预测方法,所述器件制造过程涉及将图案加工至衬底上,所述方法包括:
从所述图案识别出工艺窗口限制图案(PWLP);
确定所述工艺窗口限制图案被加工所依据的工艺参数;
将所述工艺参数编译成工艺参数图;和
使用所述工艺参数图来确定或预测利用所述器件制造过程由所述工艺窗口限制图案产生的缺陷。
2.根据权利要求1所述的方法,其中,所述工艺参数由多个数据源组成。
3.根据权利要求2所述的方法,其中,所述多个数据源中的第一个数据源包括相对高的数据密度,并且所述多个数据源中的第二个数据源包括相对低的数据密度。
4.根据权利要求1所述的方法,还包括将所述工艺参数图转换为约束图。
5.根据权利要求4所述的方法,其中,所述约束图指示所述工艺参数在哪个范围内变化而不危害所述工艺窗口限制图案。
6.根据权利要求4所述的方法,其中,所述约束图包括权重图,所述权重图指示所述图案的哪些区域要求所述工艺参数接近于所述器件制造过程的最佳参数设定。
7.根据权利要求4所述的方法,其中,所述约束图包括权重图,所述权重图指示所述图案的哪些区域允许较大范围的工艺参数值。
8.根据权利要求1所述的方法,其中,使用经验模型或计算模型来识别所述工艺窗口限制图案。
9.根据权利要求1所述的方法,其中,所述工艺参数是选自下列中的任一个或更多个:聚焦量、剂量、源参数、投影光学装置参数、从量测获得的数据、和/或来自所述器件制造过程中所使用的加工设备的操作者的数据。
10.一种制造器件的方法,包括:
根据权利要求1-9中任一项所述的方法;和
至少部分地基于所确定或预测的缺陷指示检查多个工艺窗口限制图案中的哪个。
CN201811040666.4A 2014-02-12 2015-01-07 过程窗口的优化方法 Active CN109283800B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461939071P 2014-02-12 2014-02-12
US61/939,071 2014-02-12
US201461943834P 2014-02-24 2014-02-24
US61/943,834 2014-02-24
CN201580008223.5A CN105980934B (zh) 2014-02-12 2015-01-07 过程窗口的优化方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201580008223.5A Division CN105980934B (zh) 2014-02-12 2015-01-07 过程窗口的优化方法

Publications (2)

Publication Number Publication Date
CN109283800A true CN109283800A (zh) 2019-01-29
CN109283800B CN109283800B (zh) 2021-01-01

Family

ID=52302232

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201580008223.5A Active CN105980934B (zh) 2014-02-12 2015-01-07 过程窗口的优化方法
CN201811040666.4A Active CN109283800B (zh) 2014-02-12 2015-01-07 过程窗口的优化方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201580008223.5A Active CN105980934B (zh) 2014-02-12 2015-01-07 过程窗口的优化方法

Country Status (8)

Country Link
US (3) US9990451B2 (zh)
EP (1) EP3105636B1 (zh)
JP (2) JP6386569B2 (zh)
KR (4) KR101939288B1 (zh)
CN (2) CN105980934B (zh)
SG (1) SG11201606207WA (zh)
TW (2) TWI628696B (zh)
WO (1) WO2015120996A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110034034A (zh) * 2019-03-04 2019-07-19 上海华力集成电路制造有限公司 缺陷观察设备晶圆载台精度偏移的补偿方法
TWI797418B (zh) * 2019-01-31 2023-04-01 美商應用材料股份有限公司 用於校正離子注入半導體製造工具中的部件故障的方法及系統

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5969848B2 (ja) * 2012-07-19 2016-08-17 キヤノン株式会社 露光装置、調整対象の調整量を求める方法、プログラム及びデバイスの製造方法
WO2015090774A1 (en) 2013-12-17 2015-06-25 Asml Netherlands B.V. Yield estimation and control
CN106463434B (zh) 2014-06-10 2020-12-22 Asml荷兰有限公司 计算晶片检验
KR102250062B1 (ko) * 2014-07-04 2021-05-11 삼성전자주식회사 반도체 소자의 제조 방법 및 장치
KR102021450B1 (ko) 2014-09-22 2019-11-04 에이에스엠엘 네델란즈 비.브이. 공정 윈도우 식별자
US10514614B2 (en) 2015-02-13 2019-12-24 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
US11126092B2 (en) * 2015-11-13 2021-09-21 Asml Netherlands B.V. Methods for determining an approximate value of a processing parameter at which a characteristic of the patterning process has a target value
NL2017739A (en) 2015-11-27 2017-06-07 Asml Netherlands Bv Metrology target, method and apparatus, computer program and lithographic system
IL259633B (en) 2015-12-22 2022-07-01 Asml Netherlands Bv A device and method for characterizing a window process
KR102190292B1 (ko) 2015-12-31 2020-12-14 에이에스엠엘 네델란즈 비.브이. 패터닝 공정들을 위한 측정 위치들의 선택
US11443083B2 (en) 2016-05-12 2022-09-13 Asml Netherlands B.V. Identification of hot spots or defects by machine learning
CN109863456B (zh) 2016-10-21 2021-06-18 Asml荷兰有限公司 确定图案化过程的校正的方法
US10908515B2 (en) * 2016-12-23 2021-02-02 Asml Netherlands B.V. Method and apparatus for pattern fidelity control
US10140400B2 (en) * 2017-01-30 2018-11-27 Dongfang Jingyuan Electron Limited Method and system for defect prediction of integrated circuits
WO2018153711A1 (en) * 2017-02-22 2018-08-30 Asml Netherlands B.V. Computational metrology
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
KR102488912B1 (ko) * 2017-07-12 2023-01-17 에이에스엠엘 네델란즈 비.브이. 결함 예측
CN110799902B (zh) * 2017-08-29 2022-12-23 极光先进雷射株式会社 数据分析装置、半导体制造系统、数据分析方法和半导体制造方法
US11282695B2 (en) 2017-09-26 2022-03-22 Samsung Electronics Co., Ltd. Systems and methods for wafer map analysis
US10445452B2 (en) * 2017-10-04 2019-10-15 Mentor Graphics Corporation Simulation-assisted wafer rework determination
EP3495888A1 (en) * 2017-12-06 2019-06-12 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
US11448973B2 (en) * 2017-12-19 2022-09-20 Asml Netherlands B.V. Computational metrology based correction and control
US11079687B2 (en) 2017-12-22 2021-08-03 Asml Netherlands B.V. Process window based on defect probability
CN111542855A (zh) * 2017-12-31 2020-08-14 Asml荷兰有限公司 用于缺陷检查和复验的方法和系统
JP7183195B2 (ja) * 2018-02-08 2022-12-05 東京エレクトロン株式会社 情報処理装置、プログラム、プロセス処理実行装置及び情報処理システム
KR102481755B1 (ko) * 2018-02-23 2022-12-29 에이에스엠엘 네델란즈 비.브이. 가이드 패터닝 디바이스 검사
US11376793B2 (en) 2018-04-26 2022-07-05 Hewlett-Packard Development Company, L.P. Printing production quality prediction
JP6980115B2 (ja) * 2018-08-24 2021-12-15 技術研究組合次世代3D積層造形技術総合開発機構 情報処理装置、情報処理方法、情報処理プログラム、積層造形装置およびプロセスウィンドウ生成方法
EP3627225A1 (en) 2018-09-19 2020-03-25 ASML Netherlands B.V. Particle beam apparatus, defect repair method, lithographic exposure process and lithographic system
US11244873B2 (en) * 2018-10-31 2022-02-08 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
WO2020135997A1 (en) 2018-12-26 2020-07-02 Asml Netherlands B.V. System and method for inspecting a wafer
US11550309B2 (en) * 2019-01-08 2023-01-10 Kla Corporation Unsupervised defect segmentation
US11263737B2 (en) * 2019-01-10 2022-03-01 Lam Research Corporation Defect classification and source analysis for semiconductor equipment
US11442021B2 (en) * 2019-10-11 2022-09-13 Kla Corporation Broadband light interferometry for focal-map generation in photomask inspection
JP2022059871A (ja) * 2020-10-02 2022-04-14 キヤノン株式会社 フィードバック制御装置、リソグラフィ装置、測定装置、加工装置、平坦化装置、物品の製造方法、コンピュータプログラム、およびフィードバック制御方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120253774A1 (en) * 2007-12-05 2012-10-04 Asml Netherlands B.V. Methods and Systems for Lithography Process Window Simulation

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5934459B2 (ja) 1978-09-08 1984-08-22 太洋鋳機株式会社 鋳型砂冷却装置
US5777901A (en) * 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US6324298B1 (en) * 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
US6466314B1 (en) * 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
JP4597509B2 (ja) * 1999-08-26 2010-12-15 株式会社ナノジオメトリ研究所 パターン検査装置およびパターン検査方法
CN1290168C (zh) * 2001-03-20 2006-12-13 数字技术股份有限公司 提供掩模缺陷可印刷能力分析的系统和方法
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
JP2004053683A (ja) * 2002-07-16 2004-02-19 Fujitsu Ltd パターン形成プロセスの管理方法及び管理装置
US6925860B1 (en) * 2003-02-21 2005-08-09 Nanometrics Incorporated Leveling a measured height profile
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4758358B2 (ja) * 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
WO2005098686A2 (en) * 2004-04-02 2005-10-20 Clear Shape Technologies, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
US7295291B2 (en) * 2004-05-12 2007-11-13 Litel Instruments Apparatus and process for the determination of static lens field curvature
US7593565B2 (en) * 2004-12-08 2009-09-22 Rudolph Technologies, Inc. All surface data for use in substrate inspection
US7788629B2 (en) * 2004-07-21 2010-08-31 Kla-Tencor Technologies Corp. Systems configured to perform a non-contact method for determining a property of a specimen
US7814456B2 (en) * 2004-11-22 2010-10-12 Tela Innovations, Inc. Method and system for topography-aware reticle enhancement
DE102005009536A1 (de) * 2005-02-25 2006-08-31 Carl Zeiss Sms Gmbh Verfahren zur Maskeninspektion im Rahmen des Maskendesigns und der Maskenherstellung
US7297453B2 (en) * 2005-04-13 2007-11-20 Kla-Tencor Technologies Corporation Systems and methods for mitigating variances on a patterned wafer using a prediction model
US7760929B2 (en) * 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7853920B2 (en) 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
JP2007053202A (ja) 2005-08-17 2007-03-01 Toshiba Corp 近接効果の計算方法、危険箇所検出装置及びプログラム
US7695876B2 (en) * 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
DE102005041311B4 (de) 2005-08-31 2012-04-12 Globalfoundries Inc. Verfahren und System zum automatischen Erkennen belichteter Substrate mit einer hohen Wahrscheinlichkeit für defokussierte Belichtungsfelder
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8599301B2 (en) * 2006-04-17 2013-12-03 Omnivision Technologies, Inc. Arrayed imaging systems having improved alignment and associated methods
US7954072B2 (en) * 2006-05-15 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
US20080074678A1 (en) * 2006-09-26 2008-03-27 Tokyo Electron Limited Accuracy of optical metrology measurements
JP4851924B2 (ja) * 2006-12-08 2012-01-11 株式会社東芝 危険箇所集計方法、パターン修正方法およびプログラム
US7694244B2 (en) * 2006-12-18 2010-04-06 Cadence Design Systems, Inc. Modeling and cross correlation of design predicted criticalities for optimization of semiconductor manufacturing
US7987150B1 (en) * 2007-02-09 2011-07-26 Siglaz Method and apparatus for automated rule-based sourcing of substrate microfabrication defects
US7689948B1 (en) * 2007-02-24 2010-03-30 Cadence Design Systems, Inc. System and method for model-based scoring and yield prediction
US7725845B1 (en) * 2007-02-24 2010-05-25 Cadence Design Systems, Inc. System and method for layout optimization using model-based verification
JP4846635B2 (ja) * 2007-03-22 2011-12-28 株式会社東芝 パターン情報生成方法
JP4333770B2 (ja) * 2007-04-12 2009-09-16 ソニー株式会社 マスクパターン作成プログラム、半導体製造方法、マスクパターン作成方法および半導体設計プログラム
JP4958616B2 (ja) * 2007-04-20 2012-06-20 株式会社日立ハイテクノロジーズ ホットスポット絞り込み装置、ホットスポット絞り込み方法、ホットスポット絞り込みプログラム、ホットスポット検査装置、および、ホットスポット検査方法
US7962863B2 (en) * 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7707526B2 (en) * 2007-05-25 2010-04-27 Synopsys, Inc. Predicting IC manufacturing yield based on hotspots
US8260034B2 (en) 2008-01-22 2012-09-04 International Business Machines Corporation Multi-modal data analysis for defect identification
NL1036558A1 (nl) * 2008-03-25 2009-09-28 Asml Netherlands Bv Method and lithographic apparatus for acquiring height data relating to a substrate surface.
JP5225463B2 (ja) * 2008-06-03 2013-07-03 エーエスエムエル ネザーランズ ビー.ブイ. レンズ加熱補償方法
US9710903B2 (en) * 2008-06-11 2017-07-18 Kla-Tencor Corp. System and method for detecting design and process defects on a wafer using process monitoring features
NL2003654A (en) * 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US8312406B2 (en) * 2009-06-22 2012-11-13 Cadence Design Systems, Inc. Method and system performing RC extraction
US8108803B2 (en) * 2009-10-22 2012-01-31 International Business Machines Corporation Geometry based electrical hotspot detection in integrated circuit layouts
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
CN102054074B (zh) * 2009-10-30 2015-06-24 新思科技有限公司 后绕线布局的光刻热点的更正方法及系统
JP5583791B2 (ja) * 2010-02-19 2014-09-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
US8534135B2 (en) * 2010-04-30 2013-09-17 Nanometrics Incorporated Local stress measurement
US9201022B2 (en) * 2011-06-02 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extraction of systematic defects
US8502146B2 (en) * 2011-10-03 2013-08-06 Kla-Tencor Corporation Methods and apparatus for classification of defects using surface height attributes
US8935643B2 (en) * 2011-10-06 2015-01-13 Mentor Graphics Corporation Parameter matching hotspot detection
US9053259B1 (en) * 2011-11-28 2015-06-09 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing pattern-based design enabled manufacturing of electronic circuit designs
US9858658B2 (en) * 2012-04-19 2018-01-02 Applied Materials Israel Ltd Defect classification using CAD-based context attributes
US8843875B2 (en) * 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US10330608B2 (en) * 2012-05-11 2019-06-25 Kla-Tencor Corporation Systems and methods for wafer surface feature detection, classification and quantification with wafer geometry metrology tools
US8948495B2 (en) 2012-08-01 2015-02-03 Kla-Tencor Corp. Inspecting a wafer and/or predicting one or more characteristics of a device being formed on a wafer
US9189844B2 (en) * 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
NL2011683A (en) * 2012-12-13 2014-06-16 Asml Netherlands Bv Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product.
WO2014149197A1 (en) * 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9064084B2 (en) * 2013-03-14 2015-06-23 Globalfoundries Singapore Pte. Ltd. Topography driven OPC and lithography flow
US9081919B2 (en) * 2013-03-15 2015-07-14 Globalfoundries Singapore Pte. Ltd. Design-for-manufacturing—design-enabled-manufacturing (DFM-DEM) proactive integrated manufacturing flow
US9702829B1 (en) * 2013-04-09 2017-07-11 Kla-Tencor Corporation Systems and methods for wafer surface feature detection and quantification
US9466101B2 (en) * 2013-05-01 2016-10-11 Taiwan Semiconductor Manufacturing Company Limited Detection of defects on wafer during semiconductor fabrication
US9857291B2 (en) * 2013-05-16 2018-01-02 Kla-Tencor Corporation Metrology system calibration refinement
US20150112649A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Clustering Lithographic Hotspots Based on Frequency Domain Encoding
US10649347B2 (en) * 2013-10-29 2020-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9518932B2 (en) * 2013-11-06 2016-12-13 Kla-Tencor Corp. Metrology optimized inspection
WO2015090774A1 (en) * 2013-12-17 2015-06-25 Asml Netherlands B.V. Yield estimation and control
CN106463434B (zh) * 2014-06-10 2020-12-22 Asml荷兰有限公司 计算晶片检验
US9747520B2 (en) * 2015-03-16 2017-08-29 Kla-Tencor Corporation Systems and methods for enhancing inspection sensitivity of an inspection tool
US10062158B2 (en) * 2015-07-10 2018-08-28 Globalwafers Co., Ltd. Wafer nanotopography metrology for lithography based on thickness maps
US10181185B2 (en) * 2016-01-11 2019-01-15 Kla-Tencor Corp. Image based specimen process control
US10796070B2 (en) * 2018-07-19 2020-10-06 Mentor Graphics Corporation Layout pattern similarity determination based on binary turning function signatures
WO2020094385A1 (en) * 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120253774A1 (en) * 2007-12-05 2012-10-04 Asml Netherlands B.V. Methods and Systems for Lithography Process Window Simulation

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797418B (zh) * 2019-01-31 2023-04-01 美商應用材料股份有限公司 用於校正離子注入半導體製造工具中的部件故障的方法及系統
US11862493B2 (en) 2019-01-31 2024-01-02 Applied Materials, Inc. Correcting component failures in ion implant semiconductor manufacturing tool
CN110034034A (zh) * 2019-03-04 2019-07-19 上海华力集成电路制造有限公司 缺陷观察设备晶圆载台精度偏移的补偿方法

Also Published As

Publication number Publication date
KR20180136581A (ko) 2018-12-24
TW201532124A (zh) 2015-08-16
EP3105636A1 (en) 2016-12-21
TWI694487B (zh) 2020-05-21
SG11201606207WA (en) 2016-09-29
KR20220019070A (ko) 2022-02-15
CN109283800B (zh) 2021-01-01
CN105980934B (zh) 2018-10-09
KR101939288B1 (ko) 2019-01-16
JP2018194847A (ja) 2018-12-06
KR102359050B1 (ko) 2022-02-08
US9990451B2 (en) 2018-06-05
TW201834016A (zh) 2018-09-16
JP2017505460A (ja) 2017-02-16
KR20160122217A (ko) 2016-10-21
EP3105636B1 (en) 2023-07-12
KR20210014745A (ko) 2021-02-09
CN105980934A (zh) 2016-09-28
WO2015120996A1 (en) 2015-08-20
JP6386569B2 (ja) 2018-09-05
US20150227654A1 (en) 2015-08-13
US11238189B2 (en) 2022-02-01
KR102211093B1 (ko) 2021-02-03
US20180330030A1 (en) 2018-11-15
US20220147665A1 (en) 2022-05-12
JP6641422B2 (ja) 2020-02-05
KR102427139B1 (ko) 2022-07-29
TWI628696B (zh) 2018-07-01

Similar Documents

Publication Publication Date Title
CN105980934B (zh) 过程窗口的优化方法
US11080459B2 (en) Computational wafer inspection
CN107077077B (zh) 过程窗口识别符
TWI767163B (zh) 用於預測藉由掃描電子顯微鏡(sem)進行後續掃描之缺陷位置之方法、用於檢測晶圓之系統、及相關電腦程式產品

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant