CN105022717A - 附加请求数优先级的片上网络资源仲裁方法及仲裁单元 - Google Patents

附加请求数优先级的片上网络资源仲裁方法及仲裁单元 Download PDF

Info

Publication number
CN105022717A
CN105022717A CN201510304568.7A CN201510304568A CN105022717A CN 105022717 A CN105022717 A CN 105022717A CN 201510304568 A CN201510304568 A CN 201510304568A CN 105022717 A CN105022717 A CN 105022717A
Authority
CN
China
Prior art keywords
arbitration
request
bit
resource
head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510304568.7A
Other languages
English (en)
Other versions
CN105022717B (zh
Inventor
王荣阳
杨爱良
袁泉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
China Aeronautical Radio Electronics Research Institute
Original Assignee
China Aeronautical Radio Electronics Research Institute
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by China Aeronautical Radio Electronics Research Institute filed Critical China Aeronautical Radio Electronics Research Institute
Priority to CN201510304568.7A priority Critical patent/CN105022717B/zh
Publication of CN105022717A publication Critical patent/CN105022717A/zh
Application granted granted Critical
Publication of CN105022717B publication Critical patent/CN105022717B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Data Exchanges In Wide-Area Networks (AREA)

Abstract

本发明公开了一种附加请求数优先级的片上网络资源仲裁方法,包括以下步骤:A:仲裁单元检测发起资源请求的各个头微片的请求标志位,若请求标志位为执行计数状态则对头微片的请求计数位执行加1操作;B:仲裁单元根据头微片的仲裁方式选择位以及请求计数位的值确定执行轮询仲裁C或请求数优先级仲裁D;C:仲裁单元按照顺序分配资源算法为各头微片分配虚拟通道和端口资源;D:仲裁单元以头微片的请求计数位的值作为优先级并进行排序,优先级最高的头微片优先得到虚拟通道和端口资源。本发明还公开了实现片上网络资源仲裁方法的仲裁单元。本发明解决片了上网络通信链路中不同位置的节点服务公平性问题,有利于提供低延时抖动的通信。

Description

附加请求数优先级的片上网络资源仲裁方法及仲裁单元
技术领域:
本发明涉及片上网络设计领域,具体涉及一种解决片上网络全局链路节点服务公平性的仲裁方法及仲裁单元。
背景技术:
片上网络(Network on Chip,NoC)技术的出现使单芯片可以集成数目众多的同构或异构IP核。异构IP核如内存、IO控制器可以作为共享资源供其它主IP核如CPU访问。当访问请求发生竞争和冲突时,片上路由器的的仲裁单元为多个输入请求分配虚拟通道(virtual channel,VC)和输出端口资源,其执行效率、公平性、复杂程度直接决定了NoC的性能。NoC中采用的仲裁方法有固定优先级仲裁(FPA)、可变优先级仲裁(VPA)、轮询仲裁(RRA)、加权仲裁(WRR)等。其中轮询仲裁因其简单易实现被较多NoC设计采用。轮询算法一般按请求、应答、授权三个步骤执行。在一个请求队列Σri里,每个成员(请求)都具有相同的地位,轮询算法在这组成员中顺序给予资源授权。轮询算法的资源分配是可预知的,每个请求被选择的机会是1/Σri,适用于集群中所有路由节点的处理能力和性能均相同的情况。但是,轮询仲裁只能在本地节点提供公平服务。当源节点发送的数据包沿着路由链路传播时,在未到达目的节点处的共享IP核之前,不断有其他源节点发送过来的数据包注入链路,距离共享IP核一个跳数的节点只需要经过一个路由节点的轮询仲裁,而距离共享IP核n个跳数的节点则需要经过n个路由节点的轮询仲裁,这会导致距离共享IP核远的节点得到服务的概率不断降低。
解决此问题并提供全局节点的服务公平性主要有两种方法,一是采用加权算法,根据节点当前的负载状况(即权值)来构成负载平衡的优先级队列,队列中的每个等待处理的成员都具有不同处理等级,按照加权后的优先级顺序进行处理,该方案需要动态监控资源的状态并实时计算数据包权值,增加额外的延时,实现较复杂。二是引入计算机领域的数据包寿命机制,即Age-based仲裁(ABA)。ABA可以为多个数据包竞争同一共享资源时提供全局公平性,生存时间最长数据包最先得到权限,但是在NoC中该方案实现较困难,需要占用较大的片上资源。
综上所述,常用的NoC资源仲裁算法如FPA、VPA、RRA难以保证全局节点的服务的公平性,而WRR和ABA实现复杂,占用资源较多,在NoC中不易采用。本文提出了一种附加请求数优先级的片上网络资源仲裁方法,在传统的仲裁单元中附加了一个请求计数和优先级排序结构,根据头微片的请求计数位对各微片进行优先级排序,可以有效的解决远端节点多次请求均得不到资源的情况,有利于提供公平服务保证。
发明内容:
本发明的目的是为了解决片上网络不同位置的节点在访问同一共享IP核时传统NoC仲裁算法带来的全局公平服务问题。为实现本发明的目的提供了一种附加请求数加权的片上网络仲裁方法,包括以下步骤:
A:仲裁单元接收各个头微片发起的资源请求,并检测各个头微片的请求标志位,对请求标志位为执行计数状态的头微片的执行请求计数位加1操作;其中所述头微片包含请求计数位、仲裁方式选择位、请求标志位;
B:若各个头微片中有一个头微片的仲裁方式选择位为请求数优先级仲裁或者请求计数位的值达到预定值则仲裁单元执行步骤D请求数优先级仲裁;否则仲裁单元执行步骤C轮询仲裁;
C:仲裁单元按照顺序分配资源算法为各个头微片分配虚拟通道和端口资源,与头微片关联的数据包从分配到虚拟通道和端口资源输出后送入下一个路由节点;
D:仲裁单元以各个头微片的请求计数位的值作为优先级并进行排序,优先级最高的头微片优先得到虚拟通道和端口资源,头微片关联的数据包从分配到虚拟通道和端口资源输出后送入下一个路由节点。
进一步,仲裁单元在头微片的请求计数位全为高电平时则置仲裁方式选择位为优先级仲裁方式,置请求标志位为停止计数状态。
优选地,所述仲裁方式选择位的初始值为轮询仲裁方式。
优选地,所述步骤B中请求计数位的值全为1时,仲裁单元执行步骤D请求数优先级仲裁。
优选地,所述请求计数位在数据包注入路由节点时初始值为0,在节点链路中传输时,每发起一次资源请求,则由仲裁单元对请求计数位加1。
优选地,步骤D中,若有两个或两个以上的头微片请求计数位数值相等,则随机选择一个头微片进行资源分配。
优选地,若源节点发送紧急数据包时,则在注入网络之前将头微片请求计数位置为最高。
本发明的另一目的在于提供一种用于实现上述片上网络资源仲裁方法的仲裁单元,包括n个资源状态输入端口、n个请求信号输入端口、p×n个授权信号输出端口、1个仲裁选择输入端口、1个请求计数器、1个轮询仲裁模块和1个优先级仲裁模块;
所述n个资源状态输入端口用于监测虚拟通道或输出端口的状态;
所述p×n个请求信号输入端口用于接收各头微片发起的资源请求信号,p为输入端口的虚拟通道数目;
所述1个请求计数器用于对检测各个头微片的请求标志位,对请求标志位为执行计数状态的头微片的执行请求计数位加1操作;
所述1个仲裁选择输入端口用于根据各个头微片中若有一个头微片的仲裁方式选择位为请求数优先级仲裁或者请求计数位的值达到预定值则启动请求数优先级仲裁模块;否则启动轮询仲裁模块;
所述1个轮询仲裁模块用于按照顺序分配资源算法对各个头微片执行roundrobin轮询仲裁;
所述1个优先级仲裁模块用于对各个头微片中的请求计数位的值的大小进行排序,执行高低优先级仲裁;
所述n个授权信号输出端口用于把输出端口分配给授权的数据包。本发明的优点、有益效果在于:
1.本发明可以为不同位置节点访问同一共享IP核提供公平服务保证,尤其对远距离节点多次请求未被授权的情况有较大改善,可以有效降低NoC网络的延时抖动。
2.在传统仲裁单元的基础上附加请求计数和优先级排序结构,对于仲裁单元的面积和功耗开销影响不大,适用于大规模的SoC设计。
3.在头数据微片中引入请求计数位不仅起到标志优先级的作用,而且还可以在初始注入阶段通过置高请求计数位的方式使该数据包在每个路由节点都得到优先服务,即可以提供一定的服务质量保证。
下面通过附图和实施例,对本发明的技术方案做进一步的详细描述。
附图说明:
图1为本发明仲裁方法具体实施例的数据包帧格式示意图;
图2为本发明仲裁单元具体实施例的结构示意图;
图3为本发明具体仲裁过程示意图;
图4为本发明仲裁方法和仲裁单元具体实施例在4×4 2D Mesh网络拓扑结构中的应用示意图。
具体实施方式:
为了更清楚的介绍本发明提出的一种附加请求数优先级的片上网络资源仲裁方法及仲裁单元,下面将结合附图和具体实例进行详细说明。
根据说明书所述的附加请求数优先级的片上网络资源仲裁方法,以4×4 2DMESH网络拓扑结构为例,所述的片上网络包含路由节点、资源节点和互连通道;传输协议为带有虚拟通道的虫孔路由,数据包被分割成若干微片;所述资源包含虚拟通道资源和输出端口资源。实现本发明所采用的数据包帧格式实例如图1所示。每个数据包包含一个头微片和若干个负载微片,其中第一个微片为头微片,包含使能连接位LA、微片控制位FC[0:3]、头信息位HI[0:8]、仲裁控制位(AS、CF、RC[0:7])和数据位DATA,其它微片为负载微片,包含使能连接位LA,微片控制位FC和数据位DATA。其中,
使能连接位LA,用于控制路由节点之间的通断;
微片控制位FC[0:3],其中FC[0]为微片有效标志位,FC[1:2]为虚拟通道选择位,FC[3]为头微片标志位;
头信息位HI[0:8],其中HI[0:2]为输出端口选择位、HI[3:6]为目的节点地址位、HI[7:8]为负载微片数目位;
仲裁控制位,其中AS为仲裁方式选择位(0为轮询仲裁,1为请求数优先级仲裁),CF为请求标志位(0代表继续计数,1代表停止计数),RC[0:7]为请求计数位(0x00为初始值,0xff为最高优先级),每次请求对RC执行加1操作,至值为0xff时反馈CF位,停止计数;
数据位,承载有效数据信息,对于头微片数据位宽为40bits,对于负载微片数据位宽为59bits。
结合本发明所采用的数据包帧格式说明具体实施过程。如图2为本发明仲裁单元具体实施例的结构示意图。如图中所示,本仲裁单元为路由器中的核心单元,负责为发起请求的各个头微片分配虚拟通道和输出端口资源。在本例中,路由节点为2D MESH中心节点,输入和输出端口数为5,虚拟通道数为4,除仲裁单元外,路由器还包括5个输入通道和5个输出通道、路由计算模块以及交叉开关等结构。5个资源状态输入端口用于监测VC或输出端口的空闲或占用状态;20个请求信号输入端口用于接收头微片发起的资源请求信号;5个授权信号将相应端口分配给授权的头微片;1个使能信号输入端口用于选择资源仲裁方式;1个请求计数器用于5个输入端口的VC中头微片的请求计数;轮询仲裁模块执行round robin轮询仲裁;优先级仲裁模块根据请求数的高低进行优先级排序,执行高低优先级裁。仲裁过程如图3所示:各个头微片到达输入端口的虚拟通道后,向仲裁单元发起资源请求(高电平有效),仲裁单元的请求计数器首先判断各个头微片的CF位是否为0,如果CF=0,则每个高电平信号对请求计数位执行加1操作,如果CF=1,则忽略高电平信号。在执行仲裁前,仲裁单元首先判断各个头微片中是否有头微片的请求计数位RC有全置高(RC=0xff),若有,则执行请求数优先级仲裁,若无,则判断各个头微片中是否有头微片为仲裁选择位AS信号为请求数优先级仲裁,若有则执行请求数优先级仲裁,否则执行轮询仲裁。轮询仲裁,各个发起请求的头微片按照轮询顺序给予资源分配;请求数优先级仲裁,各个发起请求的头微片按照RC位值的大小给予资源分配,值越大优先级越高,值相同的微片随机给予任意一个其中的微片资源。在头微片得到资源分配后,该资源为负载微片保留,直至下一个头微片申请同一资源。分配好资源的微片由交叉开关输出至下级路由节点的虚拟通道。
图4所示为本发明附加请求数优先级的片上网络资源仲裁方法具体实施例的仲裁单元在4×4 2D Mesh网络拓扑结构中的应用示意图。路由器采用附加请求数优先级的片上网络资源仲裁方法设计。NoC共挂载12个同构CPU核和4个MEM核,NI为网络接口,CPU和MEM通过NI将总线协议封装为NoC数据传输协议。以CPU0、CPU1、CPU2同时访问MEM0为例,如果采用传统的轮询仲裁,则CPU2得到服务的概率为1/2,CPU1得到服务的概率为1/4,CPU0得到服务的概率为1/8。NoC不能提供全局链路的公平服务。而采用请求数优先级的仲裁方法,则在节点MEM0处,整个链路传输过程中CPU0发起请求的次数最多,将优先得到服务,CPU1次之,CPU0最后。使得整个链路上CPU访问MEM时间的均衡延时抖动,能够提供公平服务保证。另外,如果CPU0需紧急访问MEM0,则可以通过在注入链路之前就把请求计数位置为0xff的方法,选择优先级仲裁,则在每一个节点都将得到优先服务,这样就提供了一定的服务质量保证。
为更清楚说明本发明中的仲裁方案,举几个情况为例:
1)分别来自四个输入方向并请求同一输出资源的头微片f1、头微片f2、头微片f3、头微片f4的请求计数位(8位)的数值分别为0x12、0x3a、0xfe、0x2b,这时候执行轮询仲裁,并对各微片的请求计数位执行加1操作。
2)分别来自四个输入方向并请求同一输出资源的头微片f1、头微片f2、头微片f3、头微片f4的请求计数位(8位)的数值分别为0x12、0x3a、0xff、0x2b,这时候执行优先级仲裁,分配资源的顺序依次为f3、f2、f4、f1,并对f1、f2、f4的请求计数位执行加1操作。
3)分别来自四个输入方向并请求同一输出资源的头微片f1、头微片f2、头微片f3、头微片f4的请求计数位(8位)的数值分别为0x12、0xff、0xff、0x2b,这时候执行优先级仲裁,先为f2和f3(f2和f3优先级相同,可以按顺序分配,比如按照出口逆时针方向)分配资源,再为头f4分配资源,最后为f1分配资源,并对f1、f4的请求计数位执行加1操作。
上述实施例仅用以说明本发明的技术方案,而非对其限制,对上述技术方案进行修改,或者对其中部分技术特征进行等同替换,并不使相应技术方案的本质脱离本发明实施例技术方案的范围。

Claims (8)

1.一种附加请求数优先级的片上网络资源仲裁方法,包括以下步骤:
A:仲裁单元接收各个头微片发起的资源请求,并检测各个头微片的请求标志位,对请求标志位为执行计数状态的头微片的执行请求计数位加1操作;其中所述头微片包含请求计数位、仲裁方式选择位、请求标志位;
B:若各个头微片中有一个头微片的仲裁方式选择位为请求数优先级仲裁或者请求计数位的值达到预定值则仲裁单元执行步骤D请求数优先级仲裁;否则仲裁单元执行步骤C轮询仲裁;
C:仲裁单元按照顺序分配资源算法为各个头微片分配虚拟通道和端口资源,与头微片关联的数据包从分配到虚拟通道和端口资源输出后送入下一个路由节点;
D:仲裁单元以各个头微片的请求计数位的值作为优先级并进行排序,优先级最高的头微片优先得到虚拟通道和端口资源,头微片关联的数据包从分配到虚拟通道和端口资源输出后送入下一个路由节点。
2.根据权利要求1所述的片上网络资源仲裁方法,其特征在于,仲裁单元在头微片的请求计数位全为高电平时则置仲裁方式选择位为优先级仲裁方式,置请求标志位为停止计数状态。
3.根据权利要求1所述的片上网络资源仲裁方法,其特征在于,所述仲裁方式选择位的初始值为轮询仲裁方式。
4.根据权利要求1所述的片上网络资源仲裁方法,其特征在于,所述步骤B中请求计数位的值全为1时,仲裁单元执行步骤D请求数优先级仲裁。
5.根据权利要求1所述的片上网络资源仲裁方法,其特征在于,所述请求计数位在数据包注入路由节点时初始值为0,在节点链路中传输时,每发起一次资源请求,则由仲裁单元对请求计数位加1。
6.根据权利要求1所述的片上网络资源仲裁方法,其特征在于,步骤D中,若有两个或两个以上的头微片请求计数位数值相等,则随机选择一个头微片进行资源分配。
7.根据权利要求1所述的片上网络资源仲裁方法,其特征在于还包括:若源节点发送紧急数据包时,则在注入网络之前将头微片请求计数位置为最高。
8.一种用于实现权利要求1至7任一所述片上网络资源仲裁方法的仲裁单元,包括n个资源状态输入端口、n个请求信号输入端口、p×n个授权信号输出端口、1个仲裁选择输入端口、1个请求计数器、1个轮询仲裁模块和1个优先级仲裁模块;
所述n个资源状态输入端口用于监测虚拟通道或输出端口的状态;
所述p×n个请求信号输入端口用于接收各头微片发起的资源请求信号,p为输入端口的虚拟通道数目;
所述1个请求计数器用于对检测各个头微片的请求标志位,对请求标志位为执行计数状态的头微片的执行请求计数位加1操作;
所述1个仲裁选择输入端口用于根据各个头微片中若有一个头微片的仲裁方式选择位为请求数优先级仲裁或者请求计数位的值达到预定值则启动请求数优先级仲裁模块;否则启动轮询仲裁模块;
所述1个轮询仲裁模块用于按照顺序分配资源算法对各个头微片执行roundrobin轮询仲裁;
所述1个优先级仲裁模块用于对各个头微片中的请求计数位的值的大小进行排序,执行高低优先级仲裁;
所述n个授权信号输出端口用于把输出端口分配给授权的数据包。
CN201510304568.7A 2015-06-04 2015-06-04 附加请求数优先级的片上网络资源仲裁方法及仲裁单元 Active CN105022717B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510304568.7A CN105022717B (zh) 2015-06-04 2015-06-04 附加请求数优先级的片上网络资源仲裁方法及仲裁单元

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201510304568.7A CN105022717B (zh) 2015-06-04 2015-06-04 附加请求数优先级的片上网络资源仲裁方法及仲裁单元

Publications (2)

Publication Number Publication Date
CN105022717A true CN105022717A (zh) 2015-11-04
CN105022717B CN105022717B (zh) 2018-11-27

Family

ID=54412702

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510304568.7A Active CN105022717B (zh) 2015-06-04 2015-06-04 附加请求数优先级的片上网络资源仲裁方法及仲裁单元

Country Status (1)

Country Link
CN (1) CN105022717B (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106020965A (zh) * 2015-03-31 2016-10-12 京瓷办公信息系统株式会社 电子设备以及信息处理方法
CN108259390A (zh) * 2016-12-29 2018-07-06 华为技术有限公司 互连总线中虚拟通道的优先级推送方法和装置
CN109039949A (zh) * 2018-07-24 2018-12-18 合肥工业大学 无线片上网络中基于优先级的动态无线介质访问控制方法
CN110083563A (zh) * 2019-04-01 2019-08-02 吉林大学 一种基于循环优先级实现公平仲裁的仲裁电路
CN112084027A (zh) * 2020-09-04 2020-12-15 北京灵汐科技有限公司 片上网络数据传输方法、装置、片上网络、设备及介质
CN112729395A (zh) * 2020-12-23 2021-04-30 电子科技大学 一种面向复杂SoC可靠性监测的片上传感器读出系统
CN112866148A (zh) * 2021-01-12 2021-05-28 之江实验室 一种面向类脑计算芯片的芯片间数据传输架构及实现方法
CN113037650A (zh) * 2019-12-09 2021-06-25 北京灵汐科技有限公司 一种数据处理的方法、装置和电子设备
CN113568849A (zh) * 2021-07-29 2021-10-29 海飞科(南京)信息技术有限公司 片上数据交换的滚轮仲裁方法及电路
CN115580585A (zh) * 2022-11-17 2023-01-06 沐曦集成电路(南京)有限公司 一种基于仲裁器的均衡仲裁方法
CN115640257A (zh) * 2022-12-14 2023-01-24 摩尔线程智能科技(北京)有限责任公司 用于片上网络的通路仲裁方法、仲裁器以及片上路由器
CN116028398A (zh) * 2022-11-01 2023-04-28 中科计算技术西部研究院 一种互连网络仲裁系统、装置、方法及存储介质
WO2024016660A1 (zh) * 2022-07-19 2024-01-25 声龙(新加坡)私人有限公司 芯片结构及电子设备
CN118409870A (zh) * 2024-07-02 2024-07-30 沐曦科技(成都)有限公司 一种用于gpu的用户仲裁系统

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6088734A (en) * 1997-11-12 2000-07-11 International Business Machines Corporation Systems methods and computer program products for controlling earliest deadline first scheduling at ATM nodes
US20050271054A1 (en) * 2004-06-03 2005-12-08 Min-Chang Kang Asynchronous switch based on butterfly fat-tree for network on chip application
US20100162265A1 (en) * 2008-12-23 2010-06-24 Marco Heddes System-On-A-Chip Employing A Network Of Nodes That Utilize Logical Channels And Logical Mux Channels For Communicating Messages Therebetween
CN101808032A (zh) * 2010-03-04 2010-08-18 南京大学 面向静态XY路由算法的二维网格NoC路由器优化设计方法
CN102394829A (zh) * 2011-11-14 2012-03-28 上海交通大学 片上互连网络中基于可靠性需求的仲裁方法
US20120195322A1 (en) * 2011-02-02 2012-08-02 Futurewei Technologies, Inc. Method and Apparatus for Achieving Fairness in Interconnect Using Age-Based Arbitration and Timestamping
CN102799414A (zh) * 2011-05-24 2012-11-28 中国科学技术大学 改进推测多线程的方法及装置
US20130083798A1 (en) * 2011-09-29 2013-04-04 Sridhar Lakshmanamurthy Sending Packets With Expanded Headers
CN103618673A (zh) * 2013-11-15 2014-03-05 中国航空无线电电子研究所 一种保障服务质量的片上网络路由方法
CN104158738A (zh) * 2014-08-29 2014-11-19 中国航空无线电电子研究所 一种低缓冲区片上网络路由器及路由方法
CN104584497A (zh) * 2012-09-27 2015-04-29 英特尔公司 管理具有流控制的二维网络中的饥饿和阻塞

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6088734A (en) * 1997-11-12 2000-07-11 International Business Machines Corporation Systems methods and computer program products for controlling earliest deadline first scheduling at ATM nodes
US20050271054A1 (en) * 2004-06-03 2005-12-08 Min-Chang Kang Asynchronous switch based on butterfly fat-tree for network on chip application
US20100162265A1 (en) * 2008-12-23 2010-06-24 Marco Heddes System-On-A-Chip Employing A Network Of Nodes That Utilize Logical Channels And Logical Mux Channels For Communicating Messages Therebetween
CN101808032A (zh) * 2010-03-04 2010-08-18 南京大学 面向静态XY路由算法的二维网格NoC路由器优化设计方法
US20120195322A1 (en) * 2011-02-02 2012-08-02 Futurewei Technologies, Inc. Method and Apparatus for Achieving Fairness in Interconnect Using Age-Based Arbitration and Timestamping
CN102799414A (zh) * 2011-05-24 2012-11-28 中国科学技术大学 改进推测多线程的方法及装置
US20130083798A1 (en) * 2011-09-29 2013-04-04 Sridhar Lakshmanamurthy Sending Packets With Expanded Headers
CN102394829A (zh) * 2011-11-14 2012-03-28 上海交通大学 片上互连网络中基于可靠性需求的仲裁方法
CN104584497A (zh) * 2012-09-27 2015-04-29 英特尔公司 管理具有流控制的二维网络中的饥饿和阻塞
CN103618673A (zh) * 2013-11-15 2014-03-05 中国航空无线电电子研究所 一种保障服务质量的片上网络路由方法
CN104158738A (zh) * 2014-08-29 2014-11-19 中国航空无线电电子研究所 一种低缓冲区片上网络路由器及路由方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
周文强等: "片上网络分组混合并行仲裁器的设计", 《微电子学与计算机》 *
李晓霞: "一种用于片上网络交换结构的动态优先级算法", 《中国优秀硕士学位论文全文数据库(电子期刊)信息科技辑》 *
李硕佳等: "SpaceWire路由器动态加权轮询仲裁器的设计与实现", 《微电子学与计算机》 *

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106020965A (zh) * 2015-03-31 2016-10-12 京瓷办公信息系统株式会社 电子设备以及信息处理方法
CN106020965B (zh) * 2015-03-31 2020-08-04 京瓷办公信息系统株式会社 电子设备以及信息处理方法
CN108259390A (zh) * 2016-12-29 2018-07-06 华为技术有限公司 互连总线中虚拟通道的优先级推送方法和装置
CN108259390B (zh) * 2016-12-29 2020-10-16 华为技术有限公司 互连总线中虚拟通道的优先级推送方法和装置
CN109039949A (zh) * 2018-07-24 2018-12-18 合肥工业大学 无线片上网络中基于优先级的动态无线介质访问控制方法
CN110083563A (zh) * 2019-04-01 2019-08-02 吉林大学 一种基于循环优先级实现公平仲裁的仲裁电路
CN110083563B (zh) * 2019-04-01 2022-10-28 吉林大学 一种基于循环优先级实现公平仲裁的仲裁电路
CN113037650A (zh) * 2019-12-09 2021-06-25 北京灵汐科技有限公司 一种数据处理的方法、装置和电子设备
CN113037650B (zh) * 2019-12-09 2022-11-18 北京灵汐科技有限公司 一种数据处理的方法、装置和电子设备
CN112084027B (zh) * 2020-09-04 2024-03-08 北京灵汐科技有限公司 片上网络数据传输方法、装置、片上网络、设备及介质
CN112084027A (zh) * 2020-09-04 2020-12-15 北京灵汐科技有限公司 片上网络数据传输方法、装置、片上网络、设备及介质
CN112729395A (zh) * 2020-12-23 2021-04-30 电子科技大学 一种面向复杂SoC可靠性监测的片上传感器读出系统
CN112866148A (zh) * 2021-01-12 2021-05-28 之江实验室 一种面向类脑计算芯片的芯片间数据传输架构及实现方法
CN113568849A (zh) * 2021-07-29 2021-10-29 海飞科(南京)信息技术有限公司 片上数据交换的滚轮仲裁方法及电路
WO2023006006A1 (zh) * 2021-07-29 2023-02-02 海飞科(南京)信息技术有限公司 片上数据交换的滚轮仲裁方法及电路
CN113568849B (zh) * 2021-07-29 2022-04-22 海飞科(南京)信息技术有限公司 片上数据交换的滚轮仲裁方法及电路
WO2024016660A1 (zh) * 2022-07-19 2024-01-25 声龙(新加坡)私人有限公司 芯片结构及电子设备
CN116028398A (zh) * 2022-11-01 2023-04-28 中科计算技术西部研究院 一种互连网络仲裁系统、装置、方法及存储介质
CN116028398B (zh) * 2022-11-01 2023-10-31 中科计算技术西部研究院 一种互连网络仲裁系统、装置、方法及存储介质
CN115580585A (zh) * 2022-11-17 2023-01-06 沐曦集成电路(南京)有限公司 一种基于仲裁器的均衡仲裁方法
CN115640257A (zh) * 2022-12-14 2023-01-24 摩尔线程智能科技(北京)有限责任公司 用于片上网络的通路仲裁方法、仲裁器以及片上路由器
CN118409870A (zh) * 2024-07-02 2024-07-30 沐曦科技(成都)有限公司 一种用于gpu的用户仲裁系统

Also Published As

Publication number Publication date
CN105022717B (zh) 2018-11-27

Similar Documents

Publication Publication Date Title
CN105022717B (zh) 附加请求数优先级的片上网络资源仲裁方法及仲裁单元
JP4880802B1 (ja) 中継装置
US9007920B2 (en) QoS in heterogeneous NoC by assigning weights to NoC node channels and using weighted arbitration at NoC nodes
US9426099B2 (en) Router, method for controlling router, and program
Wu et al. Improving routing efficiency for network-on-chip through contention-aware input selection
US7394808B2 (en) Method and apparatus for implementing scheduling algorithms in a network element
Heisswolf et al. Providing multiple hard latency and throughput guarantees for packet switching networks on chip
EP2663924A1 (en) Method and apparatus for low-latency interconnection networks using hierarchical rings
US20140223053A1 (en) Access controller, router, access controlling method, and computer program
US9185026B2 (en) Tagging and synchronization for fairness in NOC interconnects
CN107920025B (zh) 一种面向cpu-gpu异构片上网络的动态路由方法
KR20220116892A (ko) 네트워크 온 칩 통신 장치 및 네트워크 온 칩 통신을 위한 라우터 장치
Kumar et al. MACS: A highly customizable low-latency communication architecture
Wang et al. Flexible and efficient QoS provisioning in AXI4-based network-on-chip architecture
Lankes et al. Hierarchical NoCs for optimized access to shared memory and IO resources
Zhou et al. Queue management for QoS provision build on network processor
Anjali et al. Design and evaluation of virtual channel router for mesh-of-grid based NoC
Szymanski Low latency energy efficient communications in global-scale cloud computing systems
CN117221212B (zh) 片上光网络低拥塞路由方法及相关设备
US12081923B1 (en) Low congestion routing method of Optical Network-on-Chip and related device
US11144457B2 (en) Enhanced page locality in network-on-chip (NoC) architectures
WO2022147762A1 (zh) 一种数据包排序方法及装置
Tsai et al. Anticipative QoS Control: A Self-Reconfigurable On-Chip Communication. Micromachines 2022, 13, 1669
Petracca et al. HERO: High-speed enhanced routing operation in software routers NICs
Kavyashree et al. Architectural based congestion management for Network on Chip implemented on FPGA

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant