CN103530216A - 一种基于uvm验证方法学的pcie验证方法 - Google Patents

一种基于uvm验证方法学的pcie验证方法 Download PDF

Info

Publication number
CN103530216A
CN103530216A CN201310475464.3A CN201310475464A CN103530216A CN 103530216 A CN103530216 A CN 103530216A CN 201310475464 A CN201310475464 A CN 201310475464A CN 103530216 A CN103530216 A CN 103530216A
Authority
CN
China
Prior art keywords
pcie
module
verification
axi
uvm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310475464.3A
Other languages
English (en)
Other versions
CN103530216B (zh
Inventor
林谷
赵赛
李冰
丁贤根
Original Assignee
JIANGSU HUALI NETWORK ENGINEERING Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by JIANGSU HUALI NETWORK ENGINEERING Co Ltd filed Critical JIANGSU HUALI NETWORK ENGINEERING Co Ltd
Priority to CN201310475464.3A priority Critical patent/CN103530216B/zh
Publication of CN103530216A publication Critical patent/CN103530216A/zh
Application granted granted Critical
Publication of CN103530216B publication Critical patent/CN103530216B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

本发明涉及一种基于UVM验证方法学的PCIE验证方法,其特征在于采用验证方法学UVM和系统级硬件描述语言,利用高级可扩展接口总线行为模型构建验证环境平台,对PCIE模块实施功能验证,所述验证环境平台包括:测试用例、序列产生器、AXI驱动模块、PIPE驱动模块、AXI监测模块、PIPE监测模块、PCIE参考模型、记分板和功能覆盖率模块。本发明运行UVM验证方法学,能够实现一个层次化的验证结构,可以较简单的移植并验证不同配置的PCIE,并且通过约束产生随机数据包激励,可以实现遍历所有指令以及地址,另外功能覆盖率模型能够收集并监测覆盖率。

Description

一种基于UVM验证方法学的PCIE验证方法
技术领域
本发明涉及一种基于UVM验证方法学的PCIE验证方法。 
背景技术
芯片设计和验证技术的快速发展使得模块的功能验证的要求越来越高,在短时间内完成模块功能验证,保证逻辑功能正确,对验证环境的完备性,自动化和重用性上有着很高的要求。 
PCIE是一种最新最流行的总线和接口标准,其主要优势是传输速率极高,以及多路高速串行传输带来的高带宽。PCIE的功能正确性是至关重要的,尤其每款重新设计或者设计改动的PCIE都需要经过大量的回归测试,甚至多次流片才能够真正使用到项目中。因此PCIE的验证通常需要大量时间和人力投入。 
以往在验证PCIE模块的过程中,重新生成各种不同的PCIE数据包不但很麻烦,而且临时的编写很容易出现错误,因为通过验证平台来验证PCIE模块的效率很低,且无法重用。 
发明内容
本发明的目的在于克服上述不足,提供一种基于UVM验证方法学的PCIE验证方法,能够搭建一个可移植、重用、扩展,且完全自动检查的具有层次化结构的PCIE验证平台。 
本发明的目的是这样实现的:一种基于UVM验证方法学的PCIE验证方法,它通过系统级硬件描述语言SystemVerilog来搭建一个基于UVM验证方法学的可移植,重用,扩展,完全自动检查,具有层次化结构的PCIE验证平台。 
所述方法采用验证方法学UVM和系统级硬件描述语言(SystemVerilog),利用AXI总线行为模型构建验证环境平台,对PCIE模块实施功能验证。 
所述功能验证是指随机化自动产生对PCIE模块的随机激励,自动化的判断响应结果,以及自动化的统计功能覆盖率。 
所述实施功能验证是指,可约束的PCIE数据包的产生,分类发送PCIE数据包,自动完成数据响应。 
所述验证方法中的验证环境平台采用系统级硬件描述语言SystemVerilog完成,所述验证环境平台包括: 
1)测试用例完成随机化序列的定义,不同的测试用例使用不同的序列,用于验证PCIE的不同功能;
2)序列产生器,完成随机化数据包的定义;包括事务层数据包的事务类型、接收者地址、顺序属性、缓存一致性属性、流量类别、传送事务包的数据及其大小;包括数据链路层数据包的类型及其数据,以及不同有序集的类型和错误包的类型;在约束完数据的具体特征之后,由自动生成数据包函数自动生成数据包;定序器的功能是组织管理序列产生器,当驱动器要求数据时,定序器把序列产生器生成的事务转发给驱动器;
3)AXI驱动模块与AXI定序器相连接,将接收到的数据包,转换成AXI接口上实际的信号激励,这里的AXI驱动模块为总线行为模型;
4)PCIE驱动模块与PCIE定序器相连接,将接收到的数据包,转换成PIPE接口上的实际信号激励;
5)通过驱动模块的监视器从待验证的PCIE模块的接口上接收数据,并且把接收到的数据转化成数据包级别,并发送给PCIE参考模型。参考模型采用SystemVerilog编写;
6)记分板,通过端口分别从PCIE参考模型和监测模块中的监视器中获取数据包,实时比较数据;
7)功能覆盖率模块通过功能覆盖组(cover_group)函数定义功能覆盖点,并通过采样功能覆盖点动统计覆盖率。
UVM验证方法学是整个电子行业中实现高效开发和重用的验证环境和验证IP(VIP)的一种标准。Accellera为UVM同时提供应用程式介面和参考实现。该参考实现是一个使用SystemVerilog的语法和语义定义的类库。该验证方法为分层次的验证平台,其中融入了很多最新的验证方法学,最大程度的实现了验证重用,从而提高了验证的效率,使用它可创建坚实、可重用、具互操作性的验证IP和测试流程组件,正在普遍被业界接受和采用。UVM的简要的框架结构如图1所示。 
UVM提供了最基本的数据类uvm_sequence_item,可以把所有的数据和流程控制都放在uvm_sequence_item的扩展类里。另外可以在uvm_sequence_item的扩展类里封装对所述的数据和流程控制的一些基本操作的函数和任务;通过对uvm_sequence_item的约束可以生成相应的数据包,这些数据包就封装在相应的类里面,随时可以通过内部的函数和任务对这些数据进行处理。在图1中,通过端口(port)传递的数据类都是从uvm_sequence_item扩展出来的数据类。port类似于SystemVerilog中的信箱(mailbox)。UVM的序列产生器都是扩展uvm_sequence类,也可以对uvm_sequence_item扩展类生成相应的数据包做对应的约束,不同的序列产生器做对应的组合和约束,也就是作为测试用例;不同的约束也就给出了不同的配置,形成针对各个功能的测试用例。 
此外,UVM方法学提出了以覆盖率为目标,有约束的随机激励为途径的验证方法。在约束的控制下,可以产生随机组合的激励,随机激励在触碰到更多难以用直接测试用例验证的一些边界情况,能够利用UVM验证环境验证更多的测试用例。这里的覆盖率除了常用的代码覆盖率还有功能覆盖率,只要将所有需要验证的功能点都转化为功能覆盖率模型,那么在整个验证过程中,仿真工具会收集覆盖率信息。最后可以将功能覆盖率结果合并,只有 100%的功能覆盖率才代表验证过程结束。 
UVM方法学不但提供了建立验证环境的方法学,也提供了一整套验证基本类库,包含了验证模块的基本类,以及验证的流程的框架,另外包含了一些宏定义和消息机制。类库可以很快建立自己的验证环境,只要关注功能验证本身,而不需要过多考虑环境的底层运作。 
基于UVM的PCIE验证就需要充分利用UVM的特点,即有约束的随机数生成,自动数据对比检查,和功能覆盖率收集。 
与现有技术相比,本发明的有益效果是: 
本发明运行UVM验证方法学,能够实现一个层次化的验证结构,可以较简单的移植并验证不同配置的PCIE,并且通过约束产生随机数据包激励,可以实现遍历所有指令以及地址,另外功能覆盖率模型能够收集并监测覆盖率。
附图说明
图1是UVM简要框架结构图; 
图2是本发明的验证平台框图。
具体实施方式
结合图2所示,本发明所创建的PCIE模块验证环境平台,采用系统级硬件描述语言SystemVerilog完成:主要包括以下9个组件:测试用例,序列产生器(sequence),AXI驱动模块(AXI in_agent),PIPE驱动模块(PIPE in_agent),AXI监测模块(AXI out_agent),PIPE监测模块(PIPE out_agent),PCIE参考模型(reference model),记分板(scoreboard),功能覆盖率模块。UVM组件之间通过端口(port)相连接或者通信。 
测试用例完成随机化序列的定义,不同的测试用例使用不同的序列,用于验证PCIE的不同功能; 
序列产生器完成随机化数据包的定义,包括事务层数据包的事务类型、接收者地址、顺序属性、缓存一致性属性、流量类别、传送事务包的数据及其大小,数据链路层数据包的类型以及数据,以及不同有序集的类型,错误包的类型;在约束完数据的具体特征之后,由自动生成数据包函数自动生成数据包;
定序器的功能是组织管理序列产生器,当驱动器要求数据时,定序器把序列产生器生成的事务转发给驱动器;
AXI驱动模块将相应的数据包,转换成PCIE模块在AXI总线上实际的输入信号激励;
AXI监测模块将PCIE模块在AXI总线上的实际输出信号激励;
PIPE驱动模块将相应的数据包,转换成PCIE模块在PIPE接口上实际输入信号激励;
PIPE监测模块将PCIE模块在PIPE接口上的实际输出信号激励。
PCIE参考模型接收通过驱动模块的监视器从待验证的PCIE模块的接口上接收数据转化成的数据包,将处理过的数据包发送到记分板,参考模型采用SystemVerilog编写; 
记分板,通过端口分别从PCIE参考模型和监测模块中的监视器中获取数据包,实时比较数据;
功能覆盖率模块通过功能覆盖组(cover_group)函数定义功能覆盖点,并通过采样功能覆盖点动统计覆盖率。
本发明利用PCIE验证模块作为根复合体(Root Complex),PCIE模块作为终端(Endpoint),模拟实际情况收发数据包。PCIE模块一端与根复合体相连接,另一端通过AXI总线与应用程序(Application)通信和配置相应的寄存器。 
当用AXI总线配置好相应的寄存器之后,根复合体完成与待验证模块的连接,复位,链路初始化以及通信。数据结果被采集到记分板(scoreboard)进行自动比较。 
按照PCIE模块的通信流程,当用AXI总线配置好相应的寄存器之后,PIPE驱动模块应该先进行链路初始化,发送PCIE协议规定的有序集,包括定向序列1和定向序列2(TS1和TS2)、电气空闲、快速定向序列(FTS)、Skip有序集(SKIP),来确定链路配置(速度选择、链路宽度、链路号、通道反转、极性倒置和执行通道到通道的相位补偿)。然后进入工作状态,PIPE驱动模块发送PCIE协议规定的事务层数据包(TLP),在每一个事务层数据包执行后,PCIE的参照模型写出一个参照结果;PCIE的参照模型通过存储单元保存或者读取数据,系统IO映射单元保存或者读取数据,PCIE设备配置空间保存或者读取数据,通过对比PCIE的参照模型与待验证模块PCIE的存储单元和设备配置空间中的数据,来保证待验证模块PCIE的每一条指令的工作状态和参照模型都是一致的;在PCIE工作中,数据链路层进行链路电源管理、TLP流控制和通过链路成功传送TLP的确认提供方便,因此数据链路层会发送数据链路层数据包(DLLP),包括ACK/NAK数据包、电源管理数据包和流量控制包。PIPE监测模块同时可以监测PCIE工作状态,将所需信息反馈给PIPE驱动模块, PIPE驱动模块根据PIPE监测模块所反馈的监测信息来驱动PIPE接口上的实际信号激励。AXI驱动模块和AXI检测模块类似于AXI总线中的主设备和从设备,处理入站(InBound)和出战(OutBound)事务。例如,PIPE驱动模块解析到当前需要通过PIPE接口发送一个存储器读请求的事务层数据包,发送完成后,通过PCIE模块解析后,读请求通过AXI总线信号由AXI监测模块监测到。AXI监测模块返回给AXI驱动模块后,AXI驱动模块则通过AXI总线发送给PCIE模块一个带读数据、地址以及完成包(Cpl)标志的数据,在PCIE模块中进行正确的组包后,通过PIPE接口由PIPE接口监测到一个带数据的完成包(CplD)。再将监测模块监测的数据包与参考模型中输出的数据包进行实时比较。 
功能覆盖率的统计,在PIPE驱动模块中通过功能覆盖组(cover_group) 函数定义功能覆盖点,并通过采样功能覆盖点自动统计覆盖率。 
本发明采用UVM的层次化结构,利用PIPE实现根复合体和PCIE模块的自动化连接与通讯,通过AXI驱动模块实现自动化配置寄存器,完成正常收发包通讯校验;实现自动化脚本运行,自动比较结果,以及覆盖率的统计。 
本发明能完成PCIE模块物理层,数据链路层和事务层的全面验证,包括错误数据包的处理,实现PCIE接口模块的全面完整的功能级仿真验证;对PCIE接口模块兼容性方面也有一定的验证。 
本发明使得PCIE接口模块的验证完备并且充分,随机化的激励产生方式,自动化的结果比较,也方便验证回归测试(Regression)。 
以上通过具体实施方式对本发明进行了详细的说明,但这些并非构成对本发明的限制。在不脱离本发明原理的情况下,本领域的技术人员还可做出许多变形和改进,这些也应视为本发明的保护范围。 

Claims (3)

1.一种基于UVM验证方法学的PCIE验证方法,其特征在于采用验证方法学UVM和系统级硬件描述语言,利用高级可扩展接口总线行为模型构建验证环境平台,对PCIE模块实施功能验证,所述验证环境平台包括:测试用例、序列产生器、AXI驱动模块、PIPE驱动模块、AXI监测模块、PIPE监测模块、PCIE参考模型、记分板和功能覆盖率模块,其中
1)测试用例完成随机化序列的定义;
2)序列产生器,完成随机化数据包的定义;
3)AXI驱动模块将相应的数据包,转换成PCIE模块在AXI总线上实际的输入信号激励;
4)AXI监测模块将PCIE模块在AXI总线上的实际输出信号激励;
5)PIPE驱动模块将相应的数据包,转换成PCIE模块在PIPE接口上实际输入信号激励;
6)PIPE监测模块将PCIE模块在PIPE接口上的实际输出信号激励;
 7)PCIE参考模型接收通过AXI驱动模块的监视器从待验证的PCIE模块的接口上接收数据并转化成的数据包,将所述数据包发送到记分板;
8)记分板通过端口分别从PCIE参考模型和监测模块中的监视器中获取数据包,实时比较数据;
9)功能覆盖率模块通过功能覆盖组函数定义功能覆盖点,并通过采样功能覆盖点动统计覆盖率;
所述AXI驱动模块与AXI定序器相连接,将接收到的数据包转换成AXI接口上实际的信号激励。
2.根据权利1所述一种基于UVM验证方法学的PCIE验证方法,其特征在于:所述实施功能验证是指随机化自动产生对PCIE模块的随机激励,自动化的判断响应结果,以及自动化的统计功能覆盖率。
3.根据权利1或2所述一种基于UVM验证方法学的PCIE验证方法,其特征在于:所述实施功能验证是指,可约束的PCIE数据包的产生,分类发送PCIE数据包,自动完成数据响应。
CN201310475464.3A 2013-10-12 2013-10-12 一种基于uvm验证方法学的pcie验证系统 Active CN103530216B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310475464.3A CN103530216B (zh) 2013-10-12 2013-10-12 一种基于uvm验证方法学的pcie验证系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310475464.3A CN103530216B (zh) 2013-10-12 2013-10-12 一种基于uvm验证方法学的pcie验证系统

Publications (2)

Publication Number Publication Date
CN103530216A true CN103530216A (zh) 2014-01-22
CN103530216B CN103530216B (zh) 2016-09-14

Family

ID=49932247

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310475464.3A Active CN103530216B (zh) 2013-10-12 2013-10-12 一种基于uvm验证方法学的pcie验证系统

Country Status (1)

Country Link
CN (1) CN103530216B (zh)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104461813A (zh) * 2014-12-18 2015-03-25 浪潮集团有限公司 利用功能覆盖率负反馈加速uvm验证收敛的方法
CN104462693A (zh) * 2014-12-09 2015-03-25 中国航空工业集团公司第六三一研究所 一种基于uvm构建1394链路层事务级模型
CN104657555A (zh) * 2015-02-11 2015-05-27 北京麓柏科技有限公司 一种基于uvm验证方法学的toe的验证方法及平台
CN104901859A (zh) * 2015-06-11 2015-09-09 东南大学 一种axi/pcie总线转换装置
WO2015131697A1 (zh) * 2014-07-29 2015-09-11 中兴通讯股份有限公司 多路帧随机数据验证处理方法及装置
CN104935936A (zh) * 2015-06-15 2015-09-23 东南大学 基于uvm的熵解码器验证方法及装置
CN105068908A (zh) * 2015-07-28 2015-11-18 浪潮集团有限公司 一种用于kvm asic的功能验证平台的搭建方法
CN105487950A (zh) * 2015-11-30 2016-04-13 致象尔微电子科技(上海)有限公司 芯片前端仿真检测方法及装置
CN105740579A (zh) * 2016-03-09 2016-07-06 浪潮集团有限公司 一种连接uvm验证平台的搭建方法
CN106326056A (zh) * 2016-08-26 2017-01-11 中国电子科技集团公司第三十八研究所 可重用wishbone总线协议验证平台及其验证方法
CN106503308A (zh) * 2016-10-08 2017-03-15 中国电子科技集团公司第五十八研究所 一种基于uvm的can控制器ip验证平台
CN106649021A (zh) * 2016-11-25 2017-05-10 北京计算机技术及应用研究所 PCIe从设备测试装置
CN106980597A (zh) * 2017-03-31 2017-07-25 合肥松豪电子科技有限公司 片上系统验证方法及验证系统
CN108154022A (zh) * 2016-12-02 2018-06-12 龙芯中科技术有限公司 少针脚型接口lpc从设备及lpc主设备验证方法
CN109446015A (zh) * 2018-10-26 2019-03-08 北京计算机技术及应用研究所 一种NVMe原型仿真验证结构
CN109492269A (zh) * 2018-10-22 2019-03-19 北方电子研究院安徽有限公司 一种基于uvm的数字引信定时电路验证平台
CN109684681A (zh) * 2018-12-06 2019-04-26 西南电子技术研究所(中国电子科技集团公司第十研究所) 应用uvm验证平台的高层次化验证方法
CN110109794A (zh) * 2019-03-25 2019-08-09 电子科技大学 一种基于uvm的总线验证方法
CN110290105A (zh) * 2019-05-10 2019-09-27 中国人民解放军战略支援部队信息工程大学 一种异构协议转换的验证平台和方法
CN110598309A (zh) * 2019-09-09 2019-12-20 电子科技大学 一种基于强化学习的硬件设计验证系统及方法
CN110618929A (zh) * 2019-08-01 2019-12-27 广东工业大学 一种基于uvm的对称加密算法的验证平台和验证方法
CN111143144A (zh) * 2019-12-26 2020-05-12 山东方寸微电子科技有限公司 一种具有错误注入和可移植性的芯片验证方法及验证平台
CN111611767A (zh) * 2020-05-21 2020-09-01 北京百度网讯科技有限公司 验证方法和装置
CN112286746A (zh) * 2020-10-31 2021-01-29 拓维电子科技(上海)有限公司 针对axi从设备接口的通用验证平台及方法
CN112527705A (zh) * 2020-11-05 2021-03-19 山东云海国创云计算装备产业创新中心有限公司 一种PCIe DMA数据通路的验证方法、装置及设备
CN112559273A (zh) * 2020-12-28 2021-03-26 杭州德旺信息技术有限公司 一种基于uvm的qspi验证系统及方法
CN114428642A (zh) * 2022-02-23 2022-05-03 中电科申泰信息科技有限公司 一种基于新型处理器架构的随机指令生成环境
CN116795728A (zh) * 2023-08-25 2023-09-22 中电科申泰信息科技有限公司 一种基于uvm的多核缓存一致性验证模组及方法
CN116821001A (zh) * 2023-08-30 2023-09-29 上海燧原智能科技有限公司 输入输出子系统的验证方法、装置、电子设备及介质
CN117687928A (zh) * 2024-01-29 2024-03-12 中电科申泰信息科技有限公司 一种基于uvm的多处理器芯粒缓存一致性验证模组及方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106484583A (zh) * 2016-10-12 2017-03-08 郑州云海信息技术有限公司 一种基于uvm验证平台的upi协议模型链路层模块验证系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6154801A (en) * 1997-07-31 2000-11-28 Advanced Micro Devices, Inc. Verification strategy using external behavior modeling
CN102012957A (zh) * 2010-12-17 2011-04-13 天津曙光计算机产业有限公司 一种基于五元组的包分类逻辑代码验证方法
CN102495778A (zh) * 2011-12-13 2012-06-13 曙光信息产业(北京)有限公司 一种测试单包正则匹配逻辑的系统和方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6154801A (en) * 1997-07-31 2000-11-28 Advanced Micro Devices, Inc. Verification strategy using external behavior modeling
CN102012957A (zh) * 2010-12-17 2011-04-13 天津曙光计算机产业有限公司 一种基于五元组的包分类逻辑代码验证方法
CN102495778A (zh) * 2011-12-13 2012-06-13 曙光信息产业(北京)有限公司 一种测试单包正则匹配逻辑的系统和方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
高红霞: "基于高级验证语言的IP验证方法", 《中国优秀硕士学位论文全文数据库》 *

Cited By (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015131697A1 (zh) * 2014-07-29 2015-09-11 中兴通讯股份有限公司 多路帧随机数据验证处理方法及装置
CN104462693A (zh) * 2014-12-09 2015-03-25 中国航空工业集团公司第六三一研究所 一种基于uvm构建1394链路层事务级模型
CN104462693B (zh) * 2014-12-09 2017-10-20 中国航空工业集团公司第六三一研究所 一种基于uvm构建1394链路层事务级模型
CN104461813B (zh) * 2014-12-18 2017-06-30 浪潮集团有限公司 利用功能覆盖率负反馈加速uvm验证收敛的方法
CN104461813A (zh) * 2014-12-18 2015-03-25 浪潮集团有限公司 利用功能覆盖率负反馈加速uvm验证收敛的方法
CN104657555A (zh) * 2015-02-11 2015-05-27 北京麓柏科技有限公司 一种基于uvm验证方法学的toe的验证方法及平台
CN104901859A (zh) * 2015-06-11 2015-09-09 东南大学 一种axi/pcie总线转换装置
CN104935936A (zh) * 2015-06-15 2015-09-23 东南大学 基于uvm的熵解码器验证方法及装置
CN105068908A (zh) * 2015-07-28 2015-11-18 浪潮集团有限公司 一种用于kvm asic的功能验证平台的搭建方法
CN105487950A (zh) * 2015-11-30 2016-04-13 致象尔微电子科技(上海)有限公司 芯片前端仿真检测方法及装置
CN105487950B (zh) * 2015-11-30 2019-04-09 致象尔微电子科技(上海)有限公司 芯片前端仿真检测方法及装置
CN105740579A (zh) * 2016-03-09 2016-07-06 浪潮集团有限公司 一种连接uvm验证平台的搭建方法
CN106326056A (zh) * 2016-08-26 2017-01-11 中国电子科技集团公司第三十八研究所 可重用wishbone总线协议验证平台及其验证方法
CN106503308B (zh) * 2016-10-08 2019-03-19 中国电子科技集团公司第五十八研究所 一种基于uvm的can控制器ip验证平台
CN106503308A (zh) * 2016-10-08 2017-03-15 中国电子科技集团公司第五十八研究所 一种基于uvm的can控制器ip验证平台
CN106649021A (zh) * 2016-11-25 2017-05-10 北京计算机技术及应用研究所 PCIe从设备测试装置
CN106649021B (zh) * 2016-11-25 2019-04-09 北京计算机技术及应用研究所 PCIe从设备测试装置
CN108154022A (zh) * 2016-12-02 2018-06-12 龙芯中科技术有限公司 少针脚型接口lpc从设备及lpc主设备验证方法
CN108154022B (zh) * 2016-12-02 2020-11-06 龙芯中科技术有限公司 少针脚型接口lpc从设备及lpc主设备验证方法
CN106980597A (zh) * 2017-03-31 2017-07-25 合肥松豪电子科技有限公司 片上系统验证方法及验证系统
CN106980597B (zh) * 2017-03-31 2021-06-01 合肥松豪电子科技有限公司 片上系统验证方法及验证系统
CN109492269B (zh) * 2018-10-22 2023-06-27 北方电子研究院安徽有限公司 一种基于uvm的数字引信定时电路验证平台
CN109492269A (zh) * 2018-10-22 2019-03-19 北方电子研究院安徽有限公司 一种基于uvm的数字引信定时电路验证平台
CN109446015A (zh) * 2018-10-26 2019-03-08 北京计算机技术及应用研究所 一种NVMe原型仿真验证结构
CN109446015B (zh) * 2018-10-26 2022-05-27 北京计算机技术及应用研究所 一种NVMe原型仿真验证结构
CN109684681A (zh) * 2018-12-06 2019-04-26 西南电子技术研究所(中国电子科技集团公司第十研究所) 应用uvm验证平台的高层次化验证方法
CN110109794A (zh) * 2019-03-25 2019-08-09 电子科技大学 一种基于uvm的总线验证方法
CN110290105A (zh) * 2019-05-10 2019-09-27 中国人民解放军战略支援部队信息工程大学 一种异构协议转换的验证平台和方法
CN110290105B (zh) * 2019-05-10 2022-01-21 中国人民解放军战略支援部队信息工程大学 一种异构协议转换的验证平台和方法
CN110618929A (zh) * 2019-08-01 2019-12-27 广东工业大学 一种基于uvm的对称加密算法的验证平台和验证方法
CN110618929B (zh) * 2019-08-01 2023-03-21 广东工业大学 一种基于uvm的对称加密算法的验证平台和验证方法
CN110598309A (zh) * 2019-09-09 2019-12-20 电子科技大学 一种基于强化学习的硬件设计验证系统及方法
CN110598309B (zh) * 2019-09-09 2022-11-04 电子科技大学 一种基于强化学习的硬件设计验证系统及方法
CN111143144A (zh) * 2019-12-26 2020-05-12 山东方寸微电子科技有限公司 一种具有错误注入和可移植性的芯片验证方法及验证平台
CN111611767B (zh) * 2020-05-21 2023-04-25 北京百度网讯科技有限公司 验证方法和装置
CN111611767A (zh) * 2020-05-21 2020-09-01 北京百度网讯科技有限公司 验证方法和装置
CN112286746A (zh) * 2020-10-31 2021-01-29 拓维电子科技(上海)有限公司 针对axi从设备接口的通用验证平台及方法
CN112286746B (zh) * 2020-10-31 2023-01-24 拓维电子科技(上海)有限公司 针对axi从设备接口的通用验证平台及方法
CN112527705A (zh) * 2020-11-05 2021-03-19 山东云海国创云计算装备产业创新中心有限公司 一种PCIe DMA数据通路的验证方法、装置及设备
CN112527705B (zh) * 2020-11-05 2023-02-28 山东云海国创云计算装备产业创新中心有限公司 一种PCIe DMA数据通路的验证方法、装置及设备
CN112559273A (zh) * 2020-12-28 2021-03-26 杭州德旺信息技术有限公司 一种基于uvm的qspi验证系统及方法
CN114428642A (zh) * 2022-02-23 2022-05-03 中电科申泰信息科技有限公司 一种基于新型处理器架构的随机指令生成环境
CN114428642B (zh) * 2022-02-23 2024-04-05 中电科申泰信息科技有限公司 一种基于新型处理器架构的随机指令生成环境
CN116795728A (zh) * 2023-08-25 2023-09-22 中电科申泰信息科技有限公司 一种基于uvm的多核缓存一致性验证模组及方法
CN116795728B (zh) * 2023-08-25 2023-10-27 中电科申泰信息科技有限公司 一种基于uvm的多核缓存一致性验证模组及方法
CN116821001A (zh) * 2023-08-30 2023-09-29 上海燧原智能科技有限公司 输入输出子系统的验证方法、装置、电子设备及介质
CN116821001B (zh) * 2023-08-30 2023-11-21 上海燧原智能科技有限公司 输入输出子系统的验证方法、装置、电子设备及介质
CN117687928A (zh) * 2024-01-29 2024-03-12 中电科申泰信息科技有限公司 一种基于uvm的多处理器芯粒缓存一致性验证模组及方法

Also Published As

Publication number Publication date
CN103530216B (zh) 2016-09-14

Similar Documents

Publication Publication Date Title
CN103530216A (zh) 一种基于uvm验证方法学的pcie验证方法
CN103530211B (zh) 一种基于uvm平台的pcie回环自检测的方法
CN105738854A (zh) 智能电表嵌入式应用的模拟存储器测试板系统及测试方法
CN103529820B (zh) 一种适用于嵌入式设备的故障注入测试系统及测试方法
CN104820637B (zh) 一种手持式usb3.0协议分析仪
CN105528285A (zh) 一种pcie验证方法
CN104142876A (zh) Usb设备控制器模块功能验证方法及验证环境平台
CN109743301A (zh) 一种基于Modbus协议的数据收发系统及方法
CN104935936A (zh) 基于uvm的熵解码器验证方法及装置
CN105653409B (zh) 一种基于数据类型转换的硬件仿真器验证数据提取系统
CN106293625A (zh) 一种配置寄存器的方法和装置
CN106649021A (zh) PCIe从设备测试装置
CN110321292A (zh) 芯片测试方法、装置、电子设备及计算机可读存储介质
CN203260029U (zh) 基于fpga的系统芯片原型验证调试装置
CN104484255A (zh) 一种验证系统级单粒子软错误防护能力的故障注入装置
CN114036013A (zh) 一种基于uvm的应答器芯片多模块同步验证平台和验证方法
CN102591757A (zh) 基于vmm的ic-usb接口模块验证方法及验证环境平台
CN111914501A (zh) 一种基于UVM验证方法学的FeRAM接口验证平台的实现方法
CN104462626A (zh) 基于vmm验证方法学的rfif验证平台及实现方法
CN104461813A (zh) 利用功能覆盖率负反馈加速uvm验证收敛的方法
CN104636521A (zh) 基于vmm的智能卡芯片安检验证方法及验证环境平台
CN109857626A (zh) 压力测试方法及装置
CN104462693A (zh) 一种基于uvm构建1394链路层事务级模型
CN106375154B (zh) 一种时延测量验证方法、装置及系统
CN201917907U (zh) 一种用于仿真列车mvb网络环境的设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20160728

Address after: 214400 Wuxi City, Jiangyin, Shoushan Road, No. 117 sunshine golden home, room 2, building 401, room

Applicant after: Ding Xiangen

Address before: 214433 Jiangyin, Wuxi, Chengjiang street, new road, No., No. 9

Applicant before: Jiangsu Huali Network Engineering Co., Ltd.

C14 Grant of patent or utility model
GR01 Patent grant