CN102239542A - Modulation of RF returning straps for uniformity control - Google Patents

Modulation of RF returning straps for uniformity control Download PDF

Info

Publication number
CN102239542A
CN102239542A CN2009801488490A CN200980148849A CN102239542A CN 102239542 A CN102239542 A CN 102239542A CN 2009801488490 A CN2009801488490 A CN 2009801488490A CN 200980148849 A CN200980148849 A CN 200980148849A CN 102239542 A CN102239542 A CN 102239542A
Authority
CN
China
Prior art keywords
band
return
substrate support
substrate
returns
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801488490A
Other languages
Chinese (zh)
Inventor
艾伦·曹
丹尼尔·J·霍夫曼
励(汤姆)·田中
威廉·尼克松·小泰勒
王荣平
约翰·M·怀特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102239542A publication Critical patent/CN102239542A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles
    • H05H1/36Circuit arrangements

Abstract

Embodiments of the present invention generally relates to a method and apparatus for processing substrates using plasma. More particularly, embodiments of the present invention provide a plasma processing chamber having an electrode coupled to a plurality of RF returning straps, wherein impedance of the RF returning straps are set and/or adjusted to tune the plasma distribution during processing. In one embodiment, impedance of RF returning straps varies by changing length of the RF returning straps, by changing width of the RF returning straps, by changing spacing of the RF returning straps, by changing location of the RF returning straps, by adding a capacitor to the RF returning straps, or by combinations thereof.

Description

The radio frequency that is used for uniformity control returns the regulate and control method and the equipment of band
Background of invention
Description of related art
Plasma enhanced chemical vapor deposition (PECVD) is generally in order to deposit film on substrate, such as semiconductor substrate, solar panels substrate and LCD (LCD) substrate.Plasma enhanced chemical vapor deposition generally is to enter and have the vacuum chamber that is arranged on the substrate on the substrate support and finish by importing precursor gas.Precursor gas is conducted through usually and is positioned near the distribution grid in vacuum chamber top.Precursor gas in the vacuum chamber becomes plasma through energize (for example, exciting), and it applies RF power to chamber by the RF source that is coupled to chamber from one or more.The gas reaction that excites and form layer of material on substrate surface, wherein this substrate is positioned on the substrate support of controlled temperature system.Distribution grid generally is connected to the RF power source and substrate support is connected to the chamber body that is provided with RF electric current return path usually.
In using the film that pecvd process deposited, uniformity is normally expected.For example, amorphous silicon film (such as microcrystalline sillicon film or polysilicon film) uses PECVD to be deposited on the surface plate usually, is used to form the p-n junction of demand in transistor or solar cell.The quality of amorphous silicon film or polymorph silicon fiml and uniformity are important for business management.Therefore, need the inhomogeneity PECVD chamber of improvement.
Invention field
Embodiments of the invention are generally about using the method and apparatus of plasma treatment such as substrates such as solar panels substrate, surface plate substrate or semiconductor substrate.More particularly, radio frequency (RF) the electric current return path of embodiments of the invention about being used for plasma process chamber.
Summary of the invention
Embodiments of the invention are generally about being used for the method and apparatus of plasma treatment substrate.More particularly, embodiments of the invention provide and have the plasma process chamber that the RF that is used to improve the uniformity returns band.
One embodiment of the invention provide a kind of method of using plasma treatment one substrate, it comprises: a treatment chamber that defines a processing volume is provided, wherein a substrate support is configured in this processing volume, a gas distribution grid that is connected with a radio frequency (RF) power source is configured on this substrate support, and the periphery of this substrate support via a plurality of RF return the band be connected with this RF power source; Make one or more processing gases flow to this processing volume by this distribution grid; And apply a radio-frequency power and produce a plasma to this gas distribution grid with the one or more processing gases in this processing volume, wherein one or more RF return the local plasma that the impedance of band changed to adjust between this gas distribution grid and this substrate and distribute.
Another embodiment of the present invention provides a kind of equipment that is used to handle a substrate, and it comprises: a chamber body, and it defines a processing volume, and wherein this chamber body has a slit valve opening, and this slit valve opening is through being provided with to allow that substrate passes through; One substrate support, it is configured in this processing volume, and wherein this substrate support is through being provided with to hold a substrate during handling and support this substrate on a stayed surface; One gas distribution grid, it is configured in this processing volume and above this substrate support, and wherein this gas distribution grid is through being provided with to transmit one or more processing gases; One radio frequency power source, it is connected with this gas distribution grid; And a plurality of RF return band, and it is connected between the periphery and this RF power source of this substrate support, wherein this a plurality of RF return band through be provided with so that this substrate support and this RF power source between impedance along this periphery variation of this substrate support.
Another embodiment of the present invention provides a kind of equipment that is used to handle a substrate, and it comprises: a chamber body, and it defines a processing volume; One first electrode, it is configured in this processing volume; One second electrode, it is configured in this processing volume, and wherein this second electrode forms a plasma volume with respect to this first electrode and this first and second electrode between it; One radio frequency power source is coupled to this first electrode; And a plurality of RF return band, are coupled between this second electrode and the main body under a predetermined electromotive force, and wherein this a plurality of RF return the periphery that band is coupled to this second electrode, and these a plurality of RF return the impedance of band this periphery variation along this second electrode.
Brief Description Of Drawings
With reference to having the embodiment that some is plotted in accompanying drawing, the of the present invention of available above-mentioned simplified summary more specifically described, so, but detail knowledge above-mentioned feature of the present invention.But what consider is that accompanying drawing only illustrates exemplary embodiments of the present invention, because of the present invention allows other equal effectively embodiment, therefore is not considered as its scope restriction.
Figure 1A summary description is returned band according to the RF that embodiments of the invention are used for the plasma process system of PECVD.
Figure 1B is the summary top view of this plasma treatment system of Figure 1A.
Fig. 2 A summary description is the cross sectional side view of plasma process chamber according to an embodiment of the invention.
The band connection is returned in Fig. 2 B summary description RF according to an embodiment of the invention.
Band is returned in Fig. 2 C summary description RF according to an embodiment of the invention.
The band layout is returned in Fig. 3 to Fig. 7 summary description RF according to an embodiment of the invention.
The RF that Fig. 8 summary description compensates the chamber asymmetry according to an embodiment of the invention returns the band layout.
Fig. 9 summary description example silicon is the cross section view of film light voltaic (PV) solar cell.
Be favourable understanding, as possibility, the identity element symbol can be in order to indicate the identity element that each figure shares.Be recognized that the element and/or the processing step that disclose in one embodiment can be used for other embodiment without quoting from addition.
Specifically describe
Embodiments of the invention are generally about a kind of method and apparatus that is used to use the plasma treatment substrate.More specifically, embodiments of the invention provide a kind of plasma process chamber, and this chamber has and is coupled to the electrode that a plurality of RF return band, and wherein RF returns the impedance of band through setting and/or adjusting and regulate and control plasma distribution during handling.Among one embodiment, RF return band impedance can by change length that RF returns band, by change width that RF returns band, by change interval that RF returns band, by changing position that RF returns band, returning the variable capacitance of band or change by above combination by increasing RF.
The embodiments of the invention general using is in handling rectangular substrate, such as substrate that is used for LCD or surface plate and the substrate that is used for solar panels.Other substrate that is fit to can be circle, such as semiconductor substrate.The present invention can be used in the substrate of handling virtually any size and shape.But, since increase RF return need be bigger pedestal (susceptor), the invention provides special advantage in size 15K (about 15,600cm 2), 25K (about 27,750cm 2) and more than, better be 40K (about 41,140cm 2) and more than, for example 50K, 55K and 60K.
Though the present invention is described, shows and carried out to illustrative in large area substrate processing system, the present invention can have usability in other plasma process system, comprise the system from other manufacturer, its expectation is guaranteed to keep effect under the level of the processing that one or more RF return paths allow in assisting in system.The feasible exemplary process of other the present invention system comprises: CENTURA ULTIMA HDP-CVD TMSystem, PRODUCER APF PECVD TMSystem, PRODUCER BLACK DIAMOND TMSystem, PRODUCER BLOK PECVD TMSystem, PRODUCER DARC PECVD TMSystem, PRODUCER HARP TMSystem, PRODUCER PECVD TMSystem, PRODUCER STRESS NITRIDE PECVD TMSystem, PRODUCER TEOS FSG PECVD TMSystem, these systems all can be available from the Applied Materials Co., Ltds of California, USA Santa Clara.
Can use embodiments of the invention to form many dissimilar films, described film can be used for forming thin-film solar cells, is the cross sectional view of film light voltaic (PV) solar cell 900 such as the example silicon that is shown in Fig. 9.Silicon based thin film PV solar cell 900 can comprise usually and one is formed at transparent conductive oxide (TCO) layer 902 on the substrate 940, is formed at the photoelectric conversion unit 914 on the including transparent conducting oxide layer 902 and is formed at backside electrode 916 on the photoelectric conversion unit 914.Backside electrode 916 can be formed by stacked film, and this stacked film comprises transparent conductive oxide (TCO) layer 910 and conductive layer 912.
In the operation, the incident light 922 (for example sunlight or other photon) that is provided by environment is supplied to PV solar cell 900.Photoelectric conversion unit 914 in the PV solar cell 900 absorbs luminous energy and the knot of the p-i-n in being formed at photoelectric conversion unit 914 becomes electric energy with transform light energy, thereby produces electricity or energy.Perhaps, but PV solar cell 900 reversed order ground is made or deposition, maybe can comprise two or more photoelectric conversion units stacked together and that separated by including transparent conducting oxide layer.
Except other suitable material, substrate 940 can be the thin slice of metal, plastics, organic material, silicon, glass, quartz or polymer.Substrate 940 can have greater than about 1 square metre surface area, such as greater than about 2 square metres.Ren Xuan dielectric layer (not icon) is configurable between substrate 940 and transparent conductive oxide (TCO) layer 902 according to circumstances.Among one embodiment, Ren Xuan dielectric layer can be SiON or silica (SiO according to circumstances 2) layer.
Transparent conductive oxide (TCO) layer 902,910 can be including (but not limited to) at least a oxide skin(coating), and it is selected from the group that is made up of following material: tin oxide (SnO 2), tin indium oxide (ITO), zinc oxide (ZnO) or its composition.Tco layer 902 can be deposited by CVD technology, PVD technology or other depositing operation that is fit to.
Conductive layer 912 can be including (but not limited to) metal level, and it is selected from the group that is made of following material: the alloy of titanium, chromium, aluminium, silver, gold, copper, platinum or its composition.
Photoelectric conversion unit 914 comprises p type semiconductor layer 904, n type semiconductor layer 908 and Intrinsical (i type) semiconductor layer 906.I type semiconductor layer 906 also is known as bulk layers (bulk layer), and it is used as the photoelectric conversion layer of being given birth to electron hole pair by the incident optical energy volume production.Intrinsical semiconductor and the difference of extrinsic type semiconductor are to have added foreign atom in the extrinsic type semiconductor.Extrinsic type semiconductor layer (such as p type semiconductor layer 904 and n type semiconductor layer 908) is used to collect electronics or the hole that is produced by the Intrinsical semiconductor in the solar cell.
The Intrinsical semiconductor layer can be by providing the admixture of gas that comprises semi-conducting material source to be formed to form.For example, the Intrinsical silicon layer can be by providing the admixture of gas that comprises silane and hydrogen to form to treatment chamber.Decide to have changeable crystallization degree by technological parameter by silicon and other semiconductor that admixture of gas forms.
Basically do not have the material of arranging pattern clearly or degree of crystallinity at atom and be meant amorphous.The material of complete crystallization is meant crystallization, polycrystalline or monocrystal material.Polycrystalline silicon material is the crystalline material that forms many crystal grain of being separated by the grain boundary.Monocrystal material is the material of single crystal.
Semiconductor solid (that is, crystal area proportion (crystallization rate, crystal fraction) is between about 5% to about 95%) with partially crystallizable degree is meant nanocrystalline or crystallite, and it typically refers to the crystallite dimension that is suspended in the amorphous phase.
Nanocrystal silicon (usually being called microcrystal silicon) is the subgrain (paracyrstalline) with shortrange order or medium-range order, and is made of two-phase mixture-the be embedded in little crystal grain in the amorphous matrix.Nanocrystalline and crystallite is according to crystal grain (or crystallite, size crystallite) and distinguishing sometimes.Yet, the subgrain that majority has the crystal grain that stretches into micrometer range is actually fine-grained polysilicon, it does not have amorphism matrix (amorphous matrix) between crystal, so " nanocrystalline " speech is considered to the choice of word better than " crystallite " when referring to two-phase subgrain silicon.
Another comes from nineteen ninety is microcrystal silicon to be defined as to have two-phase similarly for the solution in late period, i.e. crystal grain in amorphous matrix, but limit is as for the crystal grain less than the 20nm characteristic size.On the contrary, polysilicon definition is single-phase crystalline material, does not have amorphism matrix between crystallization, and minimum crystal size is greater than 20nm.
It should be noted that " silicon metal/semiconductor " speech can refer to any type of silicon/semiconductor with crystal phase, comprises crystallite and nanocrystal silicon/semiconductor.
The degree of crystallinity of Intrinsical semiconductor layer influences the light absorption characteristics of Intrinsical semiconductor layer.For example, the amorphous semiconductor layer generally can be absorbed the light of different wave length by the intrinsic layer with different crystallinity (such as microcrystal silicon).Because this reason, most solar cells use amorphous and crystallite/nanometer crystal layer both so that the most possible light absorption characteristics to be provided.The Intrinsical semiconductor layer can be by deposition multiple microcrystalline semiconductor layer and forming, with the nominal crystal ratio that obtains expectation, the film of crystal area proportion with classification or the crystal area proportion that changes everywhere at layer.
P type and n type semiconductor layer 904,908 can be served as reasons and be selected from three races or silicon based material that pentels mixed.The silicon fiml that mixes with triels (for example boron) is called p type silicon fiml, and the silicon fiml that mixes with pentels (for example phosphorus) is called n type silicon fiml.Among one embodiment, n type semiconductor layer 908 can be the phosphorus doping silicon fiml and p type semiconductor layer 904 can be the boron-doping silicon film.
The silicon fiml that mixes can be amorphous silicon film (a-Si), polycrystalline film (poly-Si) or crystallite film (μ c-Si), has the thickness between about 5nm and about 50nm usually.Perhaps, the doped chemical in semiconductor layer 904,908 can be through selecting to meet the device demand of PV solar cell 900.N type and p type semiconductor layer 908,904 can be used the treatment chamber deposition according to embodiments of the invention.
Figure 1A summary illustrates the RF that is used for the plasma process system 100 of PECVD according to embodiments of the invention and returns band.Plasma process system 100 is through being provided with to use plasma treatment of large area substrate 101 to form structure and the device on the large-area substrates, the photovoltaic cell that this large-area substrates is used to make LCD (LCD), flat-panel screens, Organic Light Emitting Diode (OLED) or is used for solar battery array.These structures can be staggered (inverted staggered) (bottom-gate) thin-film transistor of counter-rotating of a plurality of back channel-etch types, and it comprises and a plurality ofly deposits in regular turn and cover step.Other structure can comprise p-n junction to be formed for the diode of photovoltaic cell.
Plasma process system 100 can be through being provided with depositing multiple material on large-area substrates 101, including (but not limited to) dielectric material (SiO for example 2, SiO xN y, its derivative or its composition), semi-conducting material (for example, silicon and alloy thereof), barrier material (for example, SiN x, SiO xN yOr derivatives thereof).Form or be deposited on dielectric material on the large-area substrates and the specific examples of semi-conducting material can comprise epitaxial silicon, polysilicon, amorphous silicon, microcrystal silicon, SiGe, germanium, silicon dioxide, silicon oxynitride, silicon nitride, its alloy (for example boron, phosphorus or arsenic), its derivative or its composition by plasma process system 100.Plasma process system 100 also can be through being provided with to receive the gas such as argon, hydrogen, nitrogen, helium or its composition, to be used to be used as Purge gas or carrier gas (for example argon, hydrogen, nitrogen, helium, its derivative or its composition).Using system 100 depositing silicon films can be by using silane to be used as the precursor gas in the hydrogen carrier gas and to finish in an example of large-area substrates 101.
The multiple apparatus and method of using system 100 deposit films on large-area substrates can be in Application No. 11/021,416, it was filed an application on November 17th, 2005, and being disclosed as US 2005-0255257 in early days, title is " Method Of Controlling The Film Properties Of PECVD-Deposited Thin Films " (method of the property of thin film of control PECVD deposit film); And Application No. 11/173,210, file an application on July 1st, 2005, be disclosed as US 2006-0228496 in early days, title is " Plasma Uniformity Control By Gas Diffuser Curvature " (by a gaseous diffuser curve controlled plasma uniformity), both all incorporate this paper into as a reference at this, and these application cases and this specification do not have inconsistent.Using system 100 and other example of the multiple device that forms can be in Application No. 10/889,683, file an application on July 12nd, 2004, be disclosed as US 2005-0251990 in early days, title is " by gaseous diffuser hole design control plasma uniformity " (Plasma Uniformity Control By Gas Diffuser Hole Design); And U.S. Patent number 7,125,758, announce on October 24th, 2006, title is " Controlling the Properties and Uniformity of a Silicon Nitride Film by Controlling the Film Forming Precursors " (forming the character and the uniformity of predecessor control silicon nitride film by controlling diaphragm), both all incorporate this paper into as a reference at this, and these application cases and this specification do not have inconsistent.
As shown in Figure 1, plasma process system 100 comprises the chamber body 102 that defines processing volume 110 usually.Substrate support 104 is configured in the processing volume 110.Substrate support 104 through be provided with during handling at top surface 104a upper support substrate 101.Also through being provided with vertical moving during handling to adjust the distance between substrate 101 and the nozzle component 103, nozzle component 103 is through being provided with to supply with the processing gases to processing volume 110 from handling gas source 107 to substrate support 104.Plasma process system 100 also comprises gas extraction system 111, and it is provided with so that processing volume 110 is vacuumized.Nozzle component 103 disposes with respect to substrate support 104 with parallel mode usually.
Among one embodiment, nozzle component 103 air inclusion distribution grids 131 and barrier plate 132.Gas volume 133 is formed between gas distribution grid 131 and the barrier plate 132.Gas source 107 is connected to gas volume 133 via gas supplying duct 134.
Gas distribution grid 131, barrier plate 132 and gas feed line 134 are formed by conductive material usually, and mutual electrical communication.Chamber body 102 is formed by conductive material.Chamber body 102 usually and nozzle component 103 be electrically insulated.Among one embodiment, nozzle component 103 mounts on chamber body 102 via insulator 135.
Among one embodiment, substrate support 104 also conducts electricity, and substrate support 104 and nozzle component 103 are provided for generating betwixt the comparative electrode of plasma.
RF power source 105 is usually in order to generate plasma between nozzle component 103 and substrate support 104.Among one embodiment, RF power source 105 is coupled to shower nozzle 103 via the first output 106a of impedance matching circuit 106.The second output 106b of impedance matching circuit 106 is electrically connected to chamber body 102.
Among one embodiment, a plurality of RF return and are with 109 to be electrically connected between substrate support 104 and the chamber body 102.A plurality of RF return and are with 109 through being provided with shortening the RF path of current during handling, and to adjust the plasma uniformity near the border district of substrate support 104.
The RF path of current illustrates with the arrow summary in Figure 1A.The RF electric current marches to the first output 106a of impedance matching circuit 106 usually from the first output 105a of RF power source 105, march to the back of the body surface of barrier plate 132 then along the outer surface of gas supplying duct 134, march to the front surface of gas distribution grid 131 then.From the front surface of gas distribution grid 131, the RF electric current advances by plasma 108 and arrives at the top surface of substrate 101 or substrate support 104, returns with the 109 inner surface 102a to chamber body 102 by a plurality of RF then.From inner surface 102a, the RF electric current turns back to the second output 105b of RF power source 105 via the second output 106a of impedance matching circuit 106.
Figure 1B is the summary top view of plasma process system 100.Figure 1B summary illustrates a plurality of RF that are relevant to substrate support 104 and returns layout with 109.A plurality of RF return with 109 edge distribution along substrate support 104.Each RF returns and is with 109 can comprise a wide deflection thing, and it has the surface that an end is electrically connected to substrate support 104, and the other end is electrically connected to chamber body 102.A plurality of RF return with the relative motion between 109 admissible basis plate supports 104 and the chamber body 102.Each RF returns with 109 can have different electrical properties, and it is suitable for RF through adjustment and returns position with 109.Among one embodiment, RF returns with 109 impedance through adjusting to coordinate local plasma distribution.
Later with reference to Figure 1A, during handling, one or more processing gases flow to processing volume 110 by shower nozzle 103 from gas source 107.RF power puts between shower nozzle 103 and the substrate support 104, generates plasma 108 to be used for treatment substrate 101.The uniformity of plasma distribution is desired during normally handling.Yet the distribution of plasma 108 determined by every factor, returns electrical property with 109 such as geometry, distance between electrodes and the RF of the distribution of handling gas, processing volume 110.
In one embodiment of the invention, the plasma distribution in the processing volume 110 can be returned with 109 one or multinomial character and adjusts by adjusting one or more RF.Among one embodiment, RF returns with 109 character and can return position with 109, adjust RF and return width with 109, adjust RF and return length with 109, adjust adjacent RF and return with the interval between 109, add variable or fixing electric capacity or its combination and adjust by adjusting RF.
Fig. 2 A summary illustrates the cross sectional side view of plasma process chamber 200 according to an embodiment of the invention.
Plasma process chamber 200 comprises cavity bottom 201, sidewall 202 and cap assemblies 203.Cavity bottom 201, sidewall 202 and cap assemblies 203 define processing volume 206.Substrate support assembly 204 is configured in the processing volume 206.Opening 207 passes a side of sidewall 202 and forms.Opening 207 is set to be passed through to allow substrate 208.Slit valve 205 is coupled to sidewall 202 and through being provided with to close opening 207 during handling.
Cap assemblies 203 is supported and can be removed to safeguard the inside of plasma process chamber 200 by sidewall 202.Cap assemblies 203 comprises enclosing cover 242, cover shield 243, barrier plate 209, distribution grid 210, gas conduit 241 and insulator 213.
Barrier plate 209 and distribution grid 210 configuration parallel to each other in fact and form distribution of gas volume 214 betwixt.Barrier plate 209 and distribution grid 210 through being provided with so that handle distribution of gas to processing volume 206.Barrier plate 209 and distribution grid 210 are generally by the aluminium manufacturing.Insulator 213 is configured on the sidewall 202 and through being provided with sidewall 202 electrical isolation distribution grids 210 and barrier plate 209.Cover shield 243 is supported by enclosing cover 242, and is electrically connected to sidewall 202.
Opening 212 passes that barrier plate 209 forms and through being provided with via gas conduit 241 distribution of gas volume 214 is connected to gas source (not shown).Distribution grid 210 is in the zone that has perforation near the central section place.A plurality of holes 211 run through distribution grid 210 and form and provide the connection of the fluid between distribution of gas volume 214 and the processing volume 206.The punched areas of distribution grid 210 is passed the even distribution that distribution grid 210 proceeds to the gas of processing volume 206 through being provided with to provide.
Substrate support assembly 204 in processing volume 206, be disposed at the center and handling during supporting substrate 208.Substrate support assembly 204 comprises the strutting piece main body 217 of conduction usually, and it is supported by the axostylus axostyle 218 that extends through cavity bottom 201.Strutting piece main body 217 is being a polygon usually in shape, and covers with the coating that is electrically insulated on the part of the strutting piece main body 217 of supporting substrate 208 at least.Insulating coating also can cover the other parts of strutting piece main body 217.Among one embodiment, substrate support assembly 204 is to be couple to ground potential to being less than during the processing under normal circumstances.
Strutting piece main body 217 can be made by metal or other similar electric conducting material (for example aluminium).Insulating coating can be dielectric material, get rid of outside other, such as oxide, silicon nitride, silicon dioxide, aluminium dioxide, tantalum pentoxide, carborundum or polyimides, these materials can be applied by multiple deposition or coating processes, for example (but being not limited to) flame-spraying, plasma spray coating, high energy coating, chemical vapour deposition (CVD), spray, stick film, sputter and coating.
Among one embodiment, strutting piece main body 217 coats the heating element 219 of at least one embedding, and this element is provided with to handle heating substrate 208.Among one embodiment, strutting piece main body 217 also comprises hot coupling to be used for temperature control.Among one embodiment, strutting piece main body 217 can comprise one or more stiffeners, and it comprises metal, pottery or other and is embedded in wherein reinforcement material.
Heating element 219 (such as electrode or resistive element) be coupled to power supply 220 and controllably heating location thereon supporting component 204 and substrate 208 to predetermined temperature.Generally speaking, heating element 219 is maintained at about 150 ℃ of consistent temperature that arrive at least about 460 ℃ with substrate 208 during handling.Heating element 219 is electrically floated with respect to strutting piece main body 217.
Axostylus axostyle 218 passes cavity bottom 201 extensions and substrate support assembly 204 is coupled to hoisting system 221 from strutting piece main body 217.Hoisting system 221 is mobile between the position of the processing position (shown in Fig. 2 A) that raises and the reduction that helps to transmit substrate with substrate support assembly 204.
Among one embodiment, substrate support assembly 204 comprises around shade framework 222.Around shade framework 222 through being provided with the deposition on the edge that prevents substrate 208 and strutting piece main body 217 during the technology or other processing.When substrate support assembly 204 is in the processing position of rising (shown in Fig. 2 A), be placed on substrate 208 and the strutting piece main body 217 around shade framework 222.When substrate support assembly 204 is in the position of the reduction that supplies the transmission substrate, be placed in substrate support assembly 204 tops around shade framework 222, this substrate support assembly is positioned on the scalariform thing 223 that is formed on the sidewall 202.
Among one embodiment, strutting piece main body 217 has a plurality of pin supports 225, and these a plurality of pin supports pass the configuration of strutting piece main body, and it is provided with to guide a plurality of lift pins 224.Each pin support 225 has the through hole 226 (through hole) that is formed at wherein.Through hole 226 is opened into the upper face of strutting piece main body 217.Each pin support 225 receives a lift pin 224 through being provided with the lower openings from through hole 226.Each lift pin 224 extends upward from the recess 227 that is formed in the cavity bottom 201.When strutting piece main body 217 reduced with a plurality of pin supports 225, a plurality of lift pins 224 stabbed saturating through hole 226 and pick up substrate 208.Substrate 208 separates from strutting piece main body 217 subsequently, and the admissible basis sheet processor is sent out plasma process chamber 200 with substrate 208.
A plurality of lift pins 224 generally are made up of pottery or anode treatment aluminium.Among one embodiment, a plurality of lift pins 224 can have various length, so that they are able at different time contact substrate 208.For example, the lift pin of placing in the outward flange spaced around of substrate 208 224 is higher than the lift pin 224 that inwardly place at interval at 224 centers from outward flange towards substrate, its allow substrate 208 from its outward flange with respect to its center earlier by lifting.
RF power source 215 is used for generating plasma in processing volume 206.Among one embodiment, impedance matching circuit 216 is coupled to RF power source 215.The first output 216a of impedance matching circuit 216 connects gas distribution grid 210, and the second output 216b of impedance matching circuit 216 connects substrate support assembly 204, therefore between the processing gas between gas distribution grid 210 and the substrate support assembly 204, apply RF power, and generate and be kept for the plasma of the substrate 208 on the treatment substrate support body assembly 204.
Among one embodiment, the first output 216a of impedance matching circuit 216 connects distribution grid 210 via gas conduit 241 and barrier plate 209.Among one embodiment, the second output 216b is coupled to chamber body (for example sidewall 202) or cover shield 243.
Among one embodiment, a plurality of RF return with 228 and are connected between the strutting piece main body 217 and cavity bottom 201 of substrate support assembly 204, and cavity bottom 201 is connected to the second output 216b of impedance matching circuit 216.A plurality of RF return and are with 228 RF electric current return path is provided between strutting piece main body 217 and cavity bottom 201.
Among one embodiment, a plurality of RF return with 228 unequally along each edge distribution of strutting piece main body 217, and these a plurality of RF return band has variation between adjacent RF returns with 228 interval.Among one embodiment, a plurality of RF return with 228 and asymmetricly distribute with the non-symmetrical features of reaction chamber geometry and/or the non-symmetrical features of air-flow distribution.Among one embodiment, no RF returns the corner that is disposed near strutting piece main body 217 with 228.
Among another embodiment, a plurality of RF return with 228 each decide to have different electrical properties on the position that each RF returns band.Among one embodiment, a plurality of RF return and return band with at least one RF of 228 and have adjustable electrical property.Among one embodiment, adjustable electrical property is that RF returns the impedance with 228.
Fig. 2 C summary illustrates RF and returns a embodiment with 228.RF returns with 228 conductive strips of smooth softness normally, its tool pliability and can not apply powerful restoring force when bending.Among one embodiment, RF returns and is with 228 to comprise flexible, low-impedance electric conducting material, its anti-chemical substance of handling and cleaning.Among one embodiment, RF returns and is with 228 to be made up of aluminium.Perhaps, RF returns the flexible material that can comprise titanium, stainless steel, beryllium copper or coated with conductive metal coating with 228.
Among one embodiment, RF returns and is with 228 to have first end 238 and second end 239.First end 238 has the groove of mounting 233, and second end 239 has the groove of mounting 234.Among one embodiment, RF returns and is with 228 to have central groove 237, and it is provided with to increase RF and returns pliability with 228.
Fig. 2 B summary illustrates the RF that is used for plasma process chamber 200 and returns the band connection.First end 238 that RF returns with 228 is electrically coupled to strutting piece main body 217 via coupling assembling 230.Among one embodiment, coupling assembling 230 is connected to the downside 240 of strutting piece main body 217.Second end 239 is electrically coupled to cavity bottom 201 by coupling assembling 229.RF returns and is with 228 can be coupled to strutting piece main body 217 and cavity bottom 201 via alternate manner, these modes for example securing member, folder pincers or other can strutting piece main body 217, RF return with 228 and cavity bottom 201 between keep the method for electric connection.Shown in Fig. 2 B, coupling assembling 230 comprises folder pincers 232 and one or more screw 235 of plastotype.Coupling assembling 229 comprises folder pincers 231 and one or more screw 236 of plastotype.
Coupling assembling 229,230 respectively comprises low-impedance electric conducting material, its anti-processing and the cleaning chemistry material.Among one embodiment, coupling assembling 229,230 comprises aluminium.Perhaps, material can comprise titanium, stainless steel, beryllium copper or any material that can conductiving metal coating applies.Among another embodiment, coupling assembling 229 comprises first electric conducting material and coupling assembling 230 comprises second electric conducting material, and wherein first electric conducting material and second electric conducting material are different materials.
Different RF returns the embodiment of band can be in Application No. 11/775,359 (attorney docket 12004), file an application on July 10th, 2007, title is " Asymmetric Grounding of Rectangular Susceptor (the asymmetric ground connection of rectangular susceptor) ", be disclosed as US 2008/0274297, it is incorporated herein by reference at this.
Among one embodiment, the electrical property that RF returns with 228 can distribute through adjusting with the regulation and control local plasma.Among one embodiment, RF returns the electrical property of band can be through adjusting to improve the uniformity of the plasma that forms between distribution grid 210 and the strutting piece main body 204.
Among one embodiment, the impedance that each RF returns with 228 can change to adjust the local plasma distribution.Among one embodiment, the local plasma of returning the band place near RF distributes and can return with 228 impedance and increase by reducing RF.Distribute and to return with 228 impedance and reduce by increasing RF and return with the local plasma at 228 places near RF.
Among another embodiment, the plasma distribution in the plasma process chamber 200 can be returned with 228 position and/or adjusts at interval by adjusting a plurality of RF.
Each RF return with 228 electrical property can by change RF return length with 228, by change RF return width with 228, by variable capacitance is in parallel or be connected to RF and return band, return the interval of band or adjust by above combination by the adjacent RF of change.
Fig. 3 to Fig. 7 summary illustrates the RF that improves plasma uniformity according to embodiments of the invention and returns band and arrange.
Fig. 3 summary illustrates RF and returns with the 328 a kind of layouts along a side of rectangular substrate strutting piece main body 317, the strutting piece main body 217 of its similar Fig. 2 A.A plurality of RF return with 328 and distribute along a side of strutting piece main body 317 equably.This arranges along a side of strutting piece main body 317 increases plasma uniformity.Adjacent RF returns interval 341 between the band and comes down to identically, and the width that each RF returns with 328 is identical in fact, and each length of returning with 328 changes along this side.Among one embodiment, the length that RF returns with 328 is longer in the end near this side, and reduces towards the center of this side gradually.Though a side of display base plate strutting piece main body 317 only, all the other sides of substrate support 317 also can be connected to a plurality of RF and return band.Similar RF returns that band is arranged or different RF returns band and arranges and can be applied to not shown residue side.
Fig. 4 summary illustrates RF and returns with the 428 a kind of layouts along a side of rectangular substrate strutting piece main body 417, the strutting piece main body 217 of its similar Fig. 2 A.A plurality of RF return with 428 and distribute along a side of strutting piece main body 417 equably.This arranges along a side of strutting piece main body 417 increases plasma uniformity.The width that each RF returns with 428 is identical in fact, and each length of returning with 428 also is identical in fact, and the interval 441 that adjacent RF returns between the band changes along this side.Among one embodiment, adjacent RF returns with 428 interval 441 bigger in the end near this side, and reduces towards the center of this side gradually.Though a side of display base plate strutting piece main body 417 only, all the other sides of substrate support 417 also can be connected to a plurality of RF and return band.Similar RF returns that band is arranged or different RF returns band and arranges and can be applied to not shown residue side.
Fig. 5 summary illustrates RF and returns with the 528 a kind of layouts along a side of rectangular substrate strutting piece main body 517, the strutting piece main body 217 of its similar Fig. 2 A.A plurality of RF return with 528 and distribute along a side of strutting piece main body 517 equably.This arranges along a side of strutting piece main body 517 increases plasma uniformity.The length that each RF returns with 528 is identical in fact, and adjacent RF returns interval 541 between the band and comes down to identically, and each width that returns with 528 changes along this side.Among one embodiment, RF returns with 528 width less in the end near this side, and increases towards the center of this side gradually.Though a side of display base plate strutting piece main body 517 only, all the other sides of substrate support 517 also can be connected to a plurality of RF and return band.Similar RF returns that band is arranged or different RF returns band and arranges and can be applied to not shown residue side.
Fig. 6 summary illustrates RF and returns with the 628 a kind of layouts along a side of rectangular substrate strutting piece main body 617, the strutting piece main body 217 of its similar Fig. 2 A.A plurality of RF return with 628 and distribute along a side of strutting piece main body 617 equably.Each RF returns band and is included in the variable capacitance 642 that wherein is connected in series.RF returns length, the width and identical in fact at interval with 628.Each RF returns with 628 variable capacitance 642 and can adjust individually.Therefore, each RF returns can return with 628 position according to RF with 628 impedance and adjusts to improve the uniformity along this side.Though a side of display base plate strutting piece main body 617 only, all the other sides of substrate support 617 also can be connected to a plurality of RF and return band.Similar RF returns that band is arranged or different RF returns band and arranges and can be applied to not shown residue side.
The layout of Fig. 3 to Fig. 6 can separately or be used in combination.Among one embodiment, identical layout can be used in all sides of substrate support.Among another embodiment, different layouts can be used in each side of substrate support.Among another embodiment, different layouts can be used in conjunction with the side along substrate support.
As shown in Figure 7, returning band along the RF of a side of substrate support 717 can and change electric capacity and arrange by variation length.A plurality of RF return with the 728 side equal distribution along substrate support 717.The interval 741 that adjacent RF returns between the band is identical in fact, and the width that each RF returns with 728 is identical in fact, and the length that each RF returns with 728 changes along this side.Among one embodiment, the length that RF returns with 728 is longer in the end near this side, and reduces towards the center of this side gradually.But one or more RF with variable capacitance 742 return the center that is configured near this side with 743.Variable capacitance 742 is allowed that RF returns with 743 RF that are equal to shorter length and is returned band.This is arranged in when reducing the range of movement that length that RF returns band can restricting substrate strutting piece 717 particularly useful.Though a side of display base plate strutting piece main body 717 only, all the other sides of substrate support 717 also can be connected to a plurality of RF and return band.Similar RF returns that band is arranged or different RF returns band and arranges and can be applied to not shown residue side.
Fig. 8 summary illustrates the RF that compensates the chamber asymmetry according to an embodiment of the invention and returns the band layout.As shown in Figure 8, a plurality of RF return with 828 four sides along rectangular substrate strutting piece main body 817 and distribute the strutting piece main body 217 of similar Fig. 2 A.RF return with 828 be arranged as asymmetric.In particular, the RF that is coupled to side 844 returns band and is different from the RF that is coupled to side 845 (this side is with respect to side 844) and returns band.This layout can be used for proofreading and correct the asymmetric chamber geometry shape of the chamber that slit valve caused by being positioned near side 845 places.
Aforementioned about embodiments of the invention, other and further embodiment can not deviate from category of the present invention and design, and category of the present invention is determined by claim described later.

Claims (15)

1. method that is used to use the plasma treatment substrate, it comprises following steps:
The treatment chamber that defines processing volume is provided, wherein substrate support is configured in this processing volume, the gas distribution grid that is connected with radio frequency (RF) power source is configured on this substrate support, and the periphery of this substrate support via a plurality of RF return the band be connected with this RF power source;
Make one or more processing gases flow to this processing volume by this distribution grid; And
Apply radio-frequency power to this gas distribution grid and produce plasma with the one or more processing gases in this processing volume,
The impedance that wherein one or more RF return band is distributed with the local plasma of adjusting between this gas distribution grid and this substrate by change.
2. the method for claim 1, the impedance that wherein said one or more RF return band are by adjusting position that described RF returns band, adjust length that described RF returns band, adjusting described RF and return interval between the band, adjust width that described RF returns band, increase described RF and return the variable capacitance of band or its combination and change.
3. method as claimed in claim 2, its middle impedance changes away from any corner of this substrate support by described RF is returned Tape movement.
4. method as claimed in claim 2, its middle impedance are configured near the corner of this substrate support described RF and return band and change by growth.
5. method as claimed in claim 2, wherein adjust impedance and comprise following steps: the described RF that broadening is configured in away from the corner of this substrate support returns band.
6. method as claimed in claim 2, its middle impedance are configured near the corner of this substrate support described RF and return the interval between the band and change by increase.
7. equipment that is used for treatment substrate, it comprises:
Chamber body, it defines processing volume, and wherein this chamber body has a slit valve opening, and this slit valve opening is through being provided with to allow that substrate passes through;
Substrate support, it is configured in this processing volume, and wherein this substrate support is through being provided with to receive substrate during handling and support this substrate on stayed surface;
Gas distribution grid, it is configured in this processing volume and above this substrate support, and wherein this gas distribution grid is handled gas through being provided with to transmit one or more;
Radio frequency power source, it is connected with this gas distribution grid; And
A plurality of RF return band, and it is connected between the periphery and this RF power source of this substrate support, wherein this a plurality of RF return that band is configured so that this substrate support and this RF power source between impedance along this periphery variation of this substrate support.
8. equipment as claimed in claim 7, wherein this substrate support is a polygon, and does not have RF and return the corner that band is connected to this substrate support.
9. equipment as claimed in claim 8, wherein the impedance between this substrate support and this radio frequency power source is by changing length that described RF returns band, change width that described RF returns band, change interval that described RF returns band, increasing described RF and return the variable capacitance of band or its combination and change.
10. equipment as claimed in claim 9, wherein said RF return a side in length, width or interval on the variation of band along this substrate support.
11. an equipment that is used for treatment substrate, it comprises:
Chamber body, it defines processing volume;
First electrode, it is configured in this processing volume;
Second electrode, it is configured in this processing volume, and wherein this second electrode forms a plasma volume with respect to this first electrode and this first and second electrode between it;
Radio frequency power source, it is coupled to this first electrode; And
A plurality of RF return band, and it is coupled between this second electrode and the main body under predetermined current potential, and wherein these a plurality of RF return the periphery that band is coupled to this second electrode, and these a plurality of RF return the impedance of band this periphery variation along this second electrode.
12. equipment as claimed in claim 11, wherein these a plurality of RF return band variation in length, width, interval or its combination.
13. equipment as claimed in claim 12, wherein these a plurality of RF at least one RF of returning band returns band and comprises electric capacity.
14. equipment as claimed in claim 12, wherein these a plurality of RF return any corner of band configuration away from this second electrode.
15. equipment as claimed in claim 14, wherein the described RF of close this slit valve opening of configuration returns to be with and has less than the impedance of returning band away from the described RF of this slit valve opening.
CN2009801488490A 2008-12-03 2009-11-30 Modulation of RF returning straps for uniformity control Pending CN102239542A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11960708P 2008-12-03 2008-12-03
US61/119,607 2008-12-03
PCT/US2009/066147 WO2010065474A2 (en) 2008-12-03 2009-11-30 Modulation of rf returning straps for uniformity control

Publications (1)

Publication Number Publication Date
CN102239542A true CN102239542A (en) 2011-11-09

Family

ID=42233804

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801488490A Pending CN102239542A (en) 2008-12-03 2009-11-30 Modulation of RF returning straps for uniformity control

Country Status (5)

Country Link
JP (1) JP2012510727A (en)
KR (1) KR20110099122A (en)
CN (1) CN102239542A (en)
TW (1) TW201043099A (en)
WO (1) WO2010065474A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104204288A (en) * 2012-03-19 2014-12-10 朗姆研究公司 Methods and apparatus for correcting for non-uniformity in a plasma processing system

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9087679B2 (en) * 2011-02-09 2015-07-21 Applied Materials, Inc. Uniformity tuning capable ESC grounding kit for RF PVD chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
CN104011838B (en) * 2011-11-24 2016-10-05 朗姆研究公司 The RF with flexible symmetry returns the plasma processing chamber of band
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
KR102219570B1 (en) * 2015-08-13 2021-02-26 세메스 주식회사 Ring member, substrate treatment apparatus and method for adjusting plasma uniformity utilizing the same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US8381677B2 (en) * 2006-12-20 2013-02-26 Applied Materials, Inc. Prevention of film deposition on PECVD process chamber wall
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104204288A (en) * 2012-03-19 2014-12-10 朗姆研究公司 Methods and apparatus for correcting for non-uniformity in a plasma processing system

Also Published As

Publication number Publication date
JP2012510727A (en) 2012-05-10
TW201043099A (en) 2010-12-01
WO2010065474A3 (en) 2010-08-12
KR20110099122A (en) 2011-09-06
WO2010065474A2 (en) 2010-06-10

Similar Documents

Publication Publication Date Title
CN102239542A (en) Modulation of RF returning straps for uniformity control
US20100136261A1 (en) Modulation of rf returning straps for uniformity control
TWI449121B (en) Substrate support regulating temperature of substrate and uses thereof
CN101322251B (en) Method and apparatus for depositing a microcrystalline silicon film for photovoltaic device
CN103959484B (en) Manufacture the method and apparatus of silicon heterogenous solar cell
US20080271675A1 (en) Method of forming thin film solar cells
US20080188062A1 (en) Method of forming microcrystalline silicon film
US20080245414A1 (en) Methods for forming a photovoltaic device with low contact resistance
TW200807506A (en) Method for forming microcrystalline silicon film and solar cell
KR20100089856A (en) Microcrystalline silicon deposition for thin film solar applications
US7955890B2 (en) Methods for forming an amorphous silicon film in display devices
CN101845620B (en) Multi-cavity chemical vapor deposition p-i-n coating device by pulse heating
CN102103989A (en) Method for forming crystal silicon film
KR101430747B1 (en) Apparatus for Processing Substrate Using Plasma
Kuraseko et al. Development of flexible fiber-type poly-Si solar cell
Kunz et al. Spatial Uniformity of Large-Area Silicon Layers (43× 43 cm2) Grown by Convection-Assisted Chemical Vapor Deposition
JP2000252216A (en) Plasma cvd system and fabrication of silicon based thin film photoelectric converter
JP2002343990A (en) Photovoltaic element
CN102234838A (en) Methods of dynamically controlling film microstructure formed in a microcrystalline layer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20111109