CN102160157A - Managing thermal budget in annealing of substrates - Google Patents

Managing thermal budget in annealing of substrates Download PDF

Info

Publication number
CN102160157A
CN102160157A CN2009801366135A CN200980136613A CN102160157A CN 102160157 A CN102160157 A CN 102160157A CN 2009801366135 A CN2009801366135 A CN 2009801366135A CN 200980136613 A CN200980136613 A CN 200980136613A CN 102160157 A CN102160157 A CN 102160157A
Authority
CN
China
Prior art keywords
substrate
energy
temperature
annealing
district
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801366135A
Other languages
Chinese (zh)
Other versions
CN102160157B (en
Inventor
斯蒂芬·莫法特
阿布拉什·J·马约尔
森德·拉马默蒂
约瑟夫·拉内什
阿伦·亨特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/212,157 external-priority patent/US20100068898A1/en
Priority claimed from US12/212,214 external-priority patent/US8314369B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102160157A publication Critical patent/CN102160157A/en
Application granted granted Critical
Publication of CN102160157B publication Critical patent/CN102160157B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Abstract

A method and an apparatus are provided for treating a substrate. The substrate is positioned on a support in a thermal treatment chamber. Electromagnetic radiation is directed toward the substrate to anneal a portion of the substrate. Other electromagnetic radiation is directed toward the substrate to preheat a portion of the substrate. The preheating reduces thermal stresses at the boundary between the preheat region and the anneal region. Any number of anneal and preheat regions are contemplated, with varying shapes and temperature profiles, as needed for specific embodiments. Any convenient source of electromagnetic radiation may be used, such as lasers, heat lamps, white light lamps, or flash lamps.

Description

The heat budget of management substrate annealing
Background of invention
Invention field
Embodiments of the present invention are about making the method for semiconductor device.More particularly, embodiments of the present invention are at the method for heat treatment substrate.
The description of prior art
Integrated circuit (IC) market constantly needs bigger memory size, switch speed reaches littler feature structural dimension parameter faster.In the industry in order to tackle one of these key steps that need for will be in melting pot the silicon wafer of batch processing change into processing single-chip in capacitor.
During this single-wafer processing, usually wafer is heated to high temperature so that take place in a plurality of IC devices that various chemistry and physical reactions can define in this wafer.What be subjected to particular attention given is that the favourable electrical efficiency of IC device requires injection zone is annealed.Annealing will before be made for the structure that amorphous wafer area is produced as crystallization more again, and activate dopant by the atom of dopant is incorporated into to the crystal lattice of substrate or wafer.Thermal process such as annealing requires to provide a large amount of relatively heat energy for wafer at short notice and this wafer is cooled off fast to stop this thermal process.The example of presently used thermal process comprises rapid thermal treatment (RTP) and pulse (spike formula) annealing.Though these technologies are extensive use of, current techniques is unsatisfactory for the large substrates that tends to expose over a long time at high temperature.These problems are along with the increase of switch speed and/or reducing of feature structural dimension parameter and become more serious.
Generally speaking, these thermal process are according to predetermined thermal manufacture method (thermal recipe), heated substrates under controlled condition.These hot manufacture methods are made of following basically: must the be heated temperature that reached, variation of temperature speed (that is, temperature rate-of-rise and fall off rate) and heat treatment system of semiconductor substrate remains on time under the specified temp.For example, some hot manufacture methods may require whole base plate to be heated to the temperature more than 400 ℃ or 400 ℃ from room temperature, last the processing time that surpasses the heat budget that is formed at the device on this substrate.
In addition, for satisfying some purpose, such as the minimum phase counterdiffusion of material between the zones of different of semiconductor substrate, each semiconductor substrate stands the time quantum of high temperature must be restricted.For reaching this purpose, variation of temperature speed (rise and descend) is preferably high.In other words, wish in the short as far as possible time, the temperature of substrate to be adjusted to high temperature from low temperature, or vice versa.
The requirement of high temperature rate of change is caused the development of rapid thermal treatment (RTP), compare with 5-15 ℃/min of conventional furnaces, quick heat treatment representative temperature climbing speed changes in the scope of 200 to 400 ℃/s.Typical case's fall off rate is in the scope of 80-150 ℃/s.Even the shortcoming of RTP only resides in several microns at the top of silicon wafer for the IC device, this RTP still heats entire wafer.The quick degree of this wafer can be heated and cool off to this drawbacks limit heat treatment.In addition, in case entire wafer is under the high temperature, heat only can be dissipated in surrounding space or the structure.Therefore, the current state of the art of RTP system is for reaching the climbing speed of 400 ℃/s and the fall off rate of 150 ℃/s as possible.
Along with the device size on the substrate will become littler in future, heat budget also must reduce because may be than gadget owing to the phase counterdiffusion of material easier degradation.Temperature rises and fall off rate must increase with the compression annealing time, for example is lower than 1 second.
For solving some problems that produce in traditional RTP type process, various scan laser annealing technologies are in order to the surface of annealing substrate.Generally speaking, these technology are passed to zonule on the substrate surface with the constant energy flux, come translation or scan this substrate with respect to the energy that is passed to this zonule simultaneously.Other laser scanning technology makes substrate keep static and mobile this laser crosses this substrate surface.Because strict uniformity requirement and make the minimized complexity of the overlapping through scanning area of crossing this substrate surface, the technology of these types is ineffective to the heat treatment of the contact level device (contact level device) that forms on this substrate surface.In addition, the thermal stress that is produced by the high thermal gradient related with the extreme regions heating in the substrate can produce this substrate and destroy.
In view of above, have come the needs of the novel device and the method for annealing semiconductor substrate with high rising and fall off rate.This provides bigger control to producing the manufacturing than gadget that increases usefulness.
General introduction
Generally speaking embodiments of the present invention provide the method for treatment substrate.An aspect of of the present present invention provides a kind of method of processing one substrate, comprise: with this substrate orientation on a movable substrate strutting piece, the heat energy guiding one of one first amount is underlie first fixed position of the part of this substrate, the heat energy guiding one of one second amount is underlie second fixed position of the part of this substrate, move this substrate support with by each institute's favored area being positioned continuously this first fixed position and being positioned institute's favored area that this substrate is handled in this second fixed position subsequently, and the part of this substrate is maintained under the temperature that is lower than 500 ℃.
Other execution mode provides a kind of method of processing one substrate, comprise this substrate orientation on a fixing base strutting piece, heat energy is led this substrate forming at least one hot-zone and at least one annealed zone on this substrate surface, and move this heat energy with by each institute's favored area being positioned continuously this hot-zone and being positioned institute's favored area that this substrate is handled in this annealed zone subsequently.
Other execution mode provides a kind of equipment that is used for heat treatment one substrate, comprises a movable substrate strutting piece; One first energy source, it is through the first of orientation with a surface of this substrate support that anneal energy is led; One second energy source, its through orientation with a second portion with this surface of this substrate support of preheating energy drag; And an optical module, it holds this first energy source and this second energy source.
Other execution mode provides a kind of equipment that is used for heat treatment one substrate, comprises a fixing base strutting piece; One or more energy source, its through orientation with the first on a surface of this substrate support that anneal energy is led and with the second portion on this surface of this substrate support of preheating energy drag; One optical module, it holds this one or more energy source; And an actuator, it is used for moving this anneal energy and this pre-thermal energy with respect to this fixing base strutting piece.
Brief Description Of Drawings
Therefore, but the mode of understood in detail above-mentioned feature structure of the present invention, promptly above the of the present invention more specific description of brief overview can be carried out with reference to execution mode, and some execution modes are illustrated in the accompanying drawing.Yet, it should be noted that accompanying drawing only illustrates exemplary embodiment of the present invention, and therefore do not desire to be considered as the restriction of its category, because the present invention can allow other equal useful embodiment.
Figure 1A is the diagram isometric view of equipment according to an embodiment of the present invention.
Figure 1B is the diagram upward view of an execution mode of the energy source of Figure 1A.
Fig. 2 is the diagram isometric view according to the equipment of another embodiment of the present invention.
Fig. 3 A is the chart of the temperature relative position on the substrate of experience technology according to an embodiment of the present invention.
Fig. 3 B-3C is the vertical view diagram of experience according to the substrate of the technology of two execution modes of the present invention.
Fig. 4 is the schematic side-view of equipment according to an embodiment of the present invention.
Fig. 5 is the diagrammatic, cross-sectional view of diagram treatment chamber according to an embodiment of the present invention.
Fig. 6 is the vertical view diagram of the substrate of experience technology according to an embodiment of the present invention.
Fig. 7 is the diagrammatic, cross-sectional view of diagram treatment chamber according to an embodiment of the present invention.
Fig. 8 A-8B is the chart of the temperature relative time on the substrate of experience technology according to the embodiment of the present invention.
Fig. 9 is the flow chart of general introduction method according to an embodiment of the present invention.
Figure 10 is the flow chart of general introduction according to the method for another embodiment of the present invention.
Figure 11 is the flow chart of general introduction according to the method for another embodiment of the present invention.
For ease of understanding, use the similar elements symbol to represent graphic total similar elements in possible locating.The element that expection discloses in one embodiment can be used for other execution mode valuably under the situation of no certain illustrated.
Specifically describe
Along with the device size on the substrate becomes littler and along with substrate self becomes bigger, once property execution heat treatment becomes unrealistic further on whole base plate.Encourage the required power in whole surface to become too high (prohibitive), and may produce non-homogeneous processing.Therefore, the heat treatment instrument such as the rtp chamber chamber is configured to the part on treatment substrate surface in turn sometimes.One exemplary Equipment for Heating Processing (such as, can be available from California, the Applied Materials of Santa Clara, Inc.'s
Figure BPA00001330813800041
Chamber) can be used for the fraction of laser light irradiation substrate surface this surface of annealing.At the edge of laser beam, extremely speed heating of substrate surface, and the temperature gradient between irradiation part and unprocessed part can cause damaging heat stress in substrate inside.Owing to this reason, usually substrate is placed on the chuck that is heated, be under the high environment temperature to reduce to be heated to the stress that annealing temperature is produced thereby keep whole base plate.Yet, keep the requirement that substrate is under the high temperature and usually reduce heat treated benefit.Generally speaking embodiments of the present invention contain the mode of ameliorating of heat treatment substrate.
Generally speaking, term " substrate " can refer to by any material with certain natural conductive capability or the article that can form with the material that conductive capability is provided through upgrading as used herein.The typical substrate material includes, but is not limited to semiconductor, such as silicon (Si) and germanium (Ge); And other compound that shows semiconductive character.These semiconducting compounds generally include the compound of III-V family and II-VI family.Representational III-V family semiconducting compound includes, but is not limited to GaAs (GaAs), gallium phosphide (GaP) and gallium nitride (GaN).Usually, term " semiconductor substrate " comprise the bulk semiconductor substrate and above be mounted with the substrate of sedimentary deposit.For this reason, the sedimentary deposit in some semiconductor substrates of being handled by method of the present invention forms by homoepitaxy (homoepitaxial) (for example, silicon on the silicon) or heteroepitaxy (for example, GaAs (GaAs) on the silicon) growth.For example, method of the present invention can be used with GaAs that is formed by heteroepitaxy method and gallium nitride base board.Similarly, the inventive method also is used on the crystallizing silicon layer of the relative thin that insulated substrate (for example, silicon-on-insulator [SOI] substrate) go up to form and forms integrated device (such as thin-film transistor (TFT)).
Some embodiments of the present invention provide the method for heat treatment substrate.Figure 1A is the diagram isometric view of equipment 100 according to an embodiment of the present invention.Figure 1A is characterized as energy source 102, and it is on adjusting with the delimited area or annealing region 104 that certain quantity of energy are projeced into the substrate 106 that is placed on the working surface 108.The amount that is projeced into the energy on the annealing region 104 is through selecting the annealing with the surface that causes this substrate 106.In some embodiments, by this energy source energy delivered less than the required energy of the part of fusion substrate 106.In other embodiments, institute's energy delivered is through selecting the preferential fusion with the part that causes substrate 106.
In some embodiments, energy source 102 comprises as illustrated a plurality of emitters among Figure 1B, and the emitter 102A-102E that is wherein showed embeds in the energy source 102.Emitter 102A-102E launches the radiation that is directed on the substrate 106 usually.In some embodiments, the energy of each emitter emission same amount of emitter 102A-102E.In other embodiments, emitter 102A-102E may launch the energy of different amounts.In an exemplary embodiment, emitter 102A can launch through selecting the certain quantity of energy with the annealing region 104 of annealing substrate 106, and emitter 102B-102E emission is through selecting the certain quantity of energy with one or more part of pre-hot substrate 106, should or these parts near annealing region 104, be adjacent to annealing region 104 or overlap with annealing region 104.
In one example, shown in Figure 1A, at any given time, only substrate delimited area (such as annealing region 104) is exposed to the radiation from energy source 102.In one aspect of the invention, a plurality of zones of substrate 106 are exposed to the energy that will measure that transmits from energy source 102 continuously, thereby cause the preferential fusion in the zone of wanting of substrate.In another aspect, a plurality of zones of substrate 106 are exposed to the certain quantity of energy from energy source 102 continuously, and it is through selecting need not the desired zone of annealing substrate under the fusion situation.
Generally speaking, the zone on the substrate surface can expose continuously, and it is by reaching with respect to this substrate of output translation of electromagnetic radiation source (for example, traditional X-ray/Y objective table, accurately objective table) and/or with respect to the output of this substrate translation radiation source.Usually, one or more traditional electrical actuator 110 (for example, linear DC servo motor, driving screw and servo motor) is in order to the moving and the position of control basal plate 106, should or these traditional electrical actuators can be the independent accurately part of objective table (not shown).Can in order to support and the tradition of location substrate 106 accurately objective table can be available from California, the Parker Hannifin company of Rohnert Park.
In other embodiments, can be with respect to the source of substrate translation electromagnetic radiation.For example, in the execution mode of Figure 1A, energy source 102 can be coupled to the positioning equipment (not shown) such as Descartes's framework (Cartesian frame), its through adjust with energy source 102 is positioned substrate 106 the regional extent of being wanted top.Positioning equipment can be configured to adjust the height of energy source above substrate 106 in addition.
Referring to Figure 1A, preheating zone 112 is defined on the surface of substrate 106 again.In some embodiments, preheating zone 112 is around annealing region 104.In other embodiments, the preheating zone can be adjacent to annealing region 104, or can overlap with annealing region 104.In other embodiments, preheating zone 112 can be near annealing region 104, and between zone 112 of preheating simultaneously and the annealing region 104 gapped or interval.In some embodiments, the preheating zone can be spaced apart with the annealing region.Therefore the preheating zone can have any suitable shape, the circular preheating zone 112 shown in the execution mode of Figure 1A.
Fig. 2 is the diagram isometric view according to the equipment 200 of another embodiment of the present invention.Energy source 202 is configured to energy drag is placed in substrate 204 on the working surface 206.In some embodiments, energy source 202 comprises a plurality of emitter 202A, and its emission has through the electromagnetic energy of selection with the characteristic on the surface of heat treatment substrate 204.At least one of emitter 202A can be through adjusting the annealing portion 208 with annealing substrate 204, and at least one of emitter 202A is through adjusting the regenerator section 210 with pre-hot substrate 204.In the execution mode of Fig. 2, the regenerator section of being showed 210 is adjacent to annealing portion 208.The feature of other execution mode can be overlap with annealing portion 208 or with annealing portion 208 isolated regenerator sections 210.
Fig. 3 A implements the summary diagrammatic of embodiments of the present invention to the effect of substrate for showing.As shown in Figure 3A, substrate is in not that the part of same district maintains under the different temperatures.The chart of Fig. 3 A is schematically represented the temperature behind the some experience annealing process on the substrate surface, and these points are arranged in and pass through on the line that treatment region paints.First district 302 can maintain through selecting under the high temperature with the annealing substrate surface.This district can or be heated to any zone of the substrate of high temperature corresponding to the annealing region 204 of the annealing region 104 of Figure 1A, Fig. 2.
Second district 304 that is characterized as of the execution mode of Fig. 3 A maintains under the different temperatures usually, and in the example of Fig. 3 A, this different temperatures is lower.In some embodiments, second district 304 can be around first district 302.In other embodiments, second district 304 can be adjacent to first district 302, can overlap with first district 302, or can be spaced apart with first district 302.The temperature in second district 304 is lower than the temperature in first district 302 usually.The temperature in second district 304 can be through selecting the part with pre-hot substrate, thereby reduce on the substrate thermal stress owing to very unexpected temperature change.
The 3rd district 306 also is defined on the substrate usually.In most cases, the 3rd district 306 is the dominant district of environment temperature.Therefore the 3rd district 306 can be the peripheral region in many execution modes.Yet in some embodiments, the 3rd district 306 also can receive by for example with heating around the strutting piece that is heated or the heat energy that uses electromagnetic energy in addition and applied.Usually less than the temperature in second district 304, it follows with the theory of gradual preheating near first district 302 temperature in the 3rd district 306.In some embodiments, the 3rd district 306 can be around second district 304, or in other embodiments, can be adjacent to second district.In some embodiments, the temperature maintenance in the 3rd district is about below 500 ℃.
Second district 304 can have the temperature between the temperature in first district 302 and the 3rd district 306.Wanted preheating by reaching, the temperature in second district 304 can realize between the complete temperature rising of the temperature scope in the temperature to the first in the 3rd district 306 district 302 about 30% and about 70% between the temperature rising.In some embodiments, to raise with respect to the temperature in the 3rd district 306 be first district 302 with respect to about 50% of the temperature rising in the 3rd district 306 in second district 304.
In some embodiments, the temperature in first district 302 can be between about 1,100 ℃ and about 1,400 ℃, such as between about 1,250 ℃ and about 1,350 ℃.In some embodiments, the temperature difference between first district 302 and the environment temperature between the fusing point of substrate and the temperature difference between the environment temperature about 90% and about 99% between, all according to appointment 95%.In some embodiments, the temperature in second district 304 can be between about 300 ℃ and about 800 ℃.The temperature in second district 304 is usually through selecting reducing the thermal stress of the boundary between first district 302 and second district 304, but this temperature also is usually less than the amorphousization temperature levels partly of substrate.The temperature in second district 304 through selecting the desire annealing portion with pre-hot substrate, is cooled off annealing portion usually simultaneously.The temperature in second district 304 is usually less than and makes atom leave the required temperature of lattice.In a feature structure is to contain in the exemplary embodiment of silicon substrate, and the temperature in first district 302 can be about 1,350 ℃, and the temperature in second district 304 is about 650 ℃, and the temperature in the 3rd district 306 is about 20 ℃ or another environment temperature.
Fig. 3 B and Fig. 3 C are for having the schematic diagram of the substrate that defines a plurality of treatment regions thereon separately.Treatment region is just represented the zone by the substrate of electromagnetic radiation heating.The execution mode of Fig. 3 B have by the second district 304B and the 3rd district 306B around the first district 302B.It should be noted that these districts can have similar or difformity.The execution mode of Fig. 3 B be characterized as the rectangle first district 302B and circular second district 304B and circle the 3rd district 306B.Three districts of all of alternate embodiments can have round-shaped.The execution mode of Fig. 3 C be characterized as the rectangle first district 302C and rectangle that on a side, is adjacent to this first district 302C or the square second district 304C, its all by the 3rd district 306C (being the peripheral region) around.Also it should be noted that second district can maintain under the single temperature all the time, or all parts in second district can maintain under the different temperatures.For example, the second district 304B of the execution mode of Fig. 3 B can be always single temperature, and all parts of the second district 304C of the execution mode of Fig. 3 C can be under the different temperatures.If the part of the second district 304C is desired as preheating zone and another part conduct district that cools, then regenerator section can maintain than under the high temperature of the part that cools.The substrate that it should be noted that and have any Reasonable Shape (such as circular, rectangle or any other flat shape) will be benefited from embodiments of the present invention as herein described.
In some embodiments, may there be a plurality of districts between peripheral region and the annealed zone with high temperature.The feature of some execution modes can be a plurality of preheating zones and single annealed zone.The feature of some execution modes can be more than first preheating zone and more than second districts that cool.In some embodiments, district can be around the district with next higher temperature so that each district around another district and by another district around.These execution modes can have in shape the district that approximate concentric circles or center are in the nido annular (nested circle) (that is non-concentric circles) at difference place.In some embodiments, have many difform districts and can be suitablely, these shapes are such as various different polygonal shapes, for example triangle, rectangle, square, trapezoidal, hexagon and analogous shape.Certainly, difformity can be used for not same district.In other embodiments, district can be adjacent to district with next higher temperature and be adjacent to the district with next lower temperature at opposite side in a side.In other embodiments, some districts can be adjacent to other district, and some districts can distinguish around other.For example, first district can be defined as the annealed zone, and the adjacent second zone that the adjacent second zone on first side in this first district is used on second side in preheating and this first district is used to cool, simultaneously these first and second district by the 3rd district around, the 3rd district maintains under the temperature of projecting temperature, and the 4th district keeps at ambient temperature other district around all.
In an exemplary embodiment, the rectangle annealed zone can by one or more preheating zone around, should or the similar rectangle of these preheating zone shapes and have triangle on the opposite side.This type of wedge-type shape (tapered shape) can be convenient to heat and the cooling base surface in desired mode.In another exemplary embodiment, can be rectangle or circular annealed zone can by one or more preheating zone with teardrop-like shape around.The circular portion of teardrop-like shape can be the preheating zone, and " afterbody " of teardrop can be the district that cools.
In some embodiments, one or many persons in the preheating or the district that cools can be spaced apart with the annealed zone, and annealed zone and preheating simultaneously and/or cool is gapped between distinguishing.For example, four districts can be defined on the substrate surface of desire annealing, and these districts are peripheral region, preheating zone, annealed zone and the district that cools.The annealed zone can be rectangle, two minor faces that it has two long limits that are measured as 11mm and is measured as 100 μ m.The preheating zone can be isosceles triangle, its base is measured as 13mm and the high 5mm of being, the base be parallel to the annealed zone long limit and with the about at interval 1mm in the long limit of annealed zone, and with respect to the placed in the middle so that line of this isoceles triangle shape of halving in annealed zone also with second-class two rectangles that are divided into long 5.5mm and wide 100 μ m in annealed zone.Cool and distinguish the isosceles triangle that can be similarly similar in appearance to the preheating zone.If the annealed zone temperature is 1,200 ℃, then the temperature of preheating zone can be between about 600 ℃ and about 700 ℃, so that the temperature of substrate surface slightly descends by the gap between preheating zone and the annealed zone time.For example, the temperature of substrate surface is reduced to about 500 ℃ before can be in reaching the annealed zone.This type of pre-heat distribution is applicable to making the disturbance of going deep into the atom in the substrate block reduce to minimum pre-heated surface simultaneously.The length on the base of the isosceles triangle of prolongation formation preheating zone can provide the heating to the zone of the substrate surface of the minor face that is adjacent to the annealed zone, to prevent the damaging heat stress on the substrate.The similar district that cools that locate on the long limit of contiguous relative with preheating zone annealed zone avoids damaging heat stress simultaneously applicable to quickening cooling.
The feature of some execution modes can be a plurality of annealed zones and has a plurality of districts of different medium temperatures.Needs on indivedual execution modes are decided, and each annealed zone can maintain under the uniform temp, or maintains under the different temperatures.In such execution mode, can between the annealed zone, among the annealed zone, around the annealed zone, be adjacent to the annealed zone, define the preheating zone around the annealed zone or with the isolated mode in annealed zone.For example, in one embodiment, four parts of substrate can be by a device processes, and this equipment defines a plurality of treatment regions in each several part.Therefore, each several part can have by the preheating zone and further by the peripheral region around the annealed zone, these the district translations cross each several part simultaneously with treatment substrate.In this embodiment, any way that these districts can other place of this paper state mode is shaped and disposes, and the position of the thermal treatment zone in the each several part can maintain the preselected distance of the thermal treatment zone in the other parts, so that total heat budget of management substrate.
In some embodiments, preheating zone or preheating and the district that cools can convenient manner be shaped.Preheating has been described and the district that cools is rectangle and is placed in execution mode on the both sides of annealed zone, as describing in the execution mode of Fig. 3 C.In other embodiments, but preheating and cool the district shape self annealing district narrow down gradually.In preheating and cool the district not in the execution mode around the annealed zone, preheating and cool the district usually and at least one dimension of annealed zone extend jointly.In some embodiments, the preheating and the district that cools can become narrower along with the distance of leaving the annealed zone.In some embodiments, the preheating and the district that cools can have triangle, trapezoidal, parabola, ellipse, avette or irregularly shaped.In other embodiments, the preheating and the district that cools can have rectangle and the semicircle shape that couples mutually.Shape may be for hybrid, and promptly the preheating zone has a shape and the district that cools has another shape.Preheating and cool the district around the annealed zone with the execution mode that forms single intermediate temperature area in, single intermediate temperature area also can be shaped.In some embodiments, the intermediate temperature area around the annealed zone can have ellipse, avette or diamond shape.In other embodiments, rectangle region can be around the annealed zone.In other embodiments, intermediate temperature area can have irregular or the compound rule shape, and is trapezoidal such as a pair of adjacency.
In one embodiment, intermediate temperature area can have and is substantially avette shape and can places brokenly with respect to the annealed zone.In this embodiment, middle the heart channel of Hang-Shaoyin displacement of annealed zone is with the center away from intermediate temperature area.Therefore, a plurality of line segments that the terminating point on the edge to the annealed zone is painted from the starting point on the edge of intermediate temperature area (each line segment is at the edge of its starting point out of the ordinary place perpendicular to intermediate temperature area) have the length that changes to the scope of minimum value in maximum.Keep that the big distance on the annealing path direction can be favourable between the edge of the edge of annealed zone and intermediate temperature area, when crossing substrate surface and move with convenient anneal energy, apply enough pre-thermal energy preventing to destroy substrate, and apply enough energy to the district that cools and do not have destruction so that annealing is finished after, cool off fast.In this embodiment, for the specified point on the substrate surface, the curve chart of temperature relative time can have the shape of half teardrop.
Fig. 4 is the schematic side-view according to the equipment 400 of another embodiment of the present invention.First energy source 402 and second energy source 404 are through settling with respectively with the first surface 406 and the second surface 408 of energy drag substrate 410.First energy source 402 is with first district 412 of energy drag substrate 410.Second energy source 404 is with second district 414 of energy drag substrate 410.In most cases, first district 412 is less than second district 414, and the border in second district 414 all extends beyond the border in first district 412 on all sides.In most cases, first energy source 402 is with the electromagnetic energy substrate 410 that leads, with through selecting to come irradiation first district 412 to heat first district 412 to the energy of annealing temperature, and second energy source, 404 usefulness are come irradiation second district 414 through selection to heat second district 414 to the energy of medium temperature.Second district 414 is heated to the desire annealing portion of medium temperature in order to pre-hot substrate, thereby avoids causing serious thermal stress owing to unexpected temperature change in first district, 412 edges.Generally speaking, be intended to the to anneal energy source 1W/cm at least of substrate 2Power density be passed to substrate surface, and the energy source that only is intended to heated substrates will transmit 0.1W/cm at least 2To substrate surface but less than the required power density of annealing.
On the one hand, the size of individual die (die) that the size of adjustment annealing region forms on substrate surface with coupling (for example, showing 40 " crystal grain " among Figure 1A) or semiconductor device (for example, memory chip).Again referring to Figure 1A, on the one hand in, the border of annealing region 104 is through aiming at and adjust size defines the border of each crystal grain with cooperation " otch (kerf) " or " cutting (scribe) " line 114.In one embodiment, before carrying out annealing process, use the output of the alignment mark that sees usually on the substrate surface and other conventional art, so that annealing region 104 can fully be aimed at crystal grain with the base plate alignment energy source.Place annealing region 104 continuously so that it only overlaps in the naturally occurring vacant space/border of intergranule (such as line of cut or cut line 114), minimizing overlaps the energy that is formed with on the substrate in the zone of device, thereby reduces the variation of the process results between the overlapping annealing region.This technology has the advantage that surpasses with the traditional handicraft of the inswept substrate surface of laser energy, because need closely control no longer to become problem in abutting connection with the overlapping between the scanning area with the needs of the homo genizing annelaing in the desired zone that guarantees to cross substrate, this is owing to the vacant space that overlapping is limited to intergranule.With respect to utilization cross the substrate All Ranges for the tradition scanning annealing type method in the zone that overlaps, vacant space/border that overlapping is limited to intergranule is improved process uniformity result also.Therefore, be exposed to from the amount of energy source 102 transmission with the energy of the key area of treatment substrate owing to change, the amount of technique change is minimized, because any overlapping of the transmission energy between the annealing region 104 of continuous placement can be minimized.
Referring to Figure 1A, in one example, each zone of the annealing region 104 of placing is the rectangular area continuously, and it is of a size of about 22mm and takes advantage of about 33mm (for example, 726 square millimeters of (mm 2) area).On the one hand, each regional area of the annealing region 104 of the continuous placement that forms on the substrate surface is between about 4mm 2(for example, 2mm * 2mm) is to about 1000mm 2(for example, between the 25mm * 40mm).Circular preheating zone 112 can be around annealing region 104, and the extensible about at the most 100mm in edge that surpasses annealing region 104.In a execution mode shown in Figure 1A, the 112 preferable edges that extend beyond annealing region 104, preheating zone are no less than about 50mm.Preheating zone or medium temperature zone surpasses the size of the common optic placode of degree of annealing region and utilisable energy and transmits resource and decide.In most cases, wish to adjust the size in various medium temperatures zone so that the minimum power demand provides this execution mode required heat budget management simultaneously.In some embodiments, the medium temperature zone extends beyond the annealing region less than 100mm at least one direction, such as less than 50mm, and for example about 30mm.
Now referring to Fig. 2, in another example, each annealing portion 208 can have similar in appearance to the size of those sizes of the annealing region 104 of Figure 1A.The preheating zone of being showed 210 is adjacent to annealing portion 208 on either side, and extends jointly with a dimension of annealing portion 208.In some embodiments, the extensible edge that surpasses annealing portion 208, preheating zone 210 is between about 50mm extremely between about 100mm.
The size in preheating zone or zone is usually through selecting to allow the abundant preheating in the preheating zone.In some embodiments, each preheating zone can be greater than the annealed zone so that allow abundant preheating.Be characterized as in the execution mode of continuous exposure consecutive annealing region one, with the preheating zone be preheated to the required time of the temperature of wanting may be longer than the required time of being annealed in the annealed zone.Therefore, the indivedual positions on the substrate can be stood twice or twice above pre-heating technique.
In most cases, energy source is usually through adjusting to transmit electromagnetic energy some desired zone with the annealing substrate surface.The typical case source of electromagnetic energy includes, but is not limited to light radiation source (for example laser), electron beam source, ion beam source, microwave energy source, visible light source and infrared ray source.On the one hand, substrate can be exposed to the energy pulse from laser, and the emitted radiation under one or more suitable wavelength of this laser lasts desired period.In another aspect, photoflash lamp can be in order to be created in the visible light energy of the enterprising horizontal pulse of substrate.On the one hand, from the energy pulse of energy source through special (tailored) in case be passed to the annealing region energy amount and/or in pulse scope in period the amount of energy delivered be able to optimization, want regional target to anneal thereby carry out institute.On the one hand, optical maser wavelength is absorbed by the silicon layer that is placed on the substrate through the tuning so that signal portion of radiation.For containing the laser annealing technique of carrying out on the silicon substrate, radiation wavelength is usually less than about 800nm, and can want to transmit under the wavelength at deep ultraviolet (UV), infrared ray (IR) or other.In one embodiment, energy source can be the intense light source such as laser, and it is through adjusting the radiation that is in transmission under the wavelength of about 500nm between to about 11 microns.In most cases, annealing process usually occurs on the given area of substrate, and this annealing process lasts the short relatively time, such as about order of magnitude below 1 second or 1 second.
In some embodiments, energy source comprises a plurality of emitters, its at least one launch aforesaid anneal energy and its at least one launch pre-thermal energy.Pre-thermal energy can be the continuous wave energy or it can the pulse mode transmission.That pre-thermal energy can be is relevant or irrelevant, monochrome or polychrome, polarization or non-polarized or its any combination or degree.Pre-thermal energy can be used as strong white light, infrared light or laser light and transmits.Strong white light can use the xenon lamp transmission.Infrared light can use the thermolamp transmission.In some embodiments, pre-thermal energy can be used as the continuous-wave radiation transmission, and anneal energy is with the pulse mode transmission.Pre-thermal energy is usually through selecting to improve the temperature of the required sub-fraction amount of substrate annealing or fusion.In one embodiment, laser can be placed in working surface top, simultaneously four thermolamps around this laser with the zone of preheating ring around the annealed zone.In another embodiment, available four xenon lamps substitute thermolamp to transmit strong white light.
Fig. 5 is applicable to the diagrammatic, cross-sectional view of the treatment chamber 500 of putting into practice embodiments of the present invention for diagram.Treatment chamber 500 comprises the optical clear window 506 that is formed on the chamber body 504.Chamber body 504 defines processing volume 502.In one embodiment, processing volume 502 can have inert environments, and it is kept by inert gas source 512 that is connected to processing volume 502 and vacuum pump 510.
Substrate support 508 places processing volume 502.Substrate support 508 is configured to support and move the substrate 514 that is placed on the top surface 516.Energy source 518 is positioned chamber body 504 outsides and is configured to optical clear window 506 is passed through in the energy projection.Energy source can be configured to by other is located described any way and throws anneal energy 520 and pre-thermal energy 522 herein.Substrate support 508 can be connected to temperature control unit 524, and this temperature control unit has cooling and the heating efficiency that is used to be placed in the substrate 514 on the substrate support 508.Substrate support 508 can be connected to one or more high precision objective table 526, should or these objective tables allow during handling accurate between the substrate 514 and energy source 518 to aim at and relatively move.
In one embodiment, optical sensor 528 can be in order to help aiming at of substrate 514 and energy source 518.Optical sensor 528 can be positioned near the optical clear window 506 and be connected to control unit 530, and this control unit further is connected to high precision objective table 526.During aiming at, optical sensor 528 can be passed through optical clear window 506 " watch " visual indicia is positioned on the substrate 514, these visual indicias are crystal grain indentation (notch) and line of cut on every side for example.Control unit 530 is handled from the signal of optical sensor 528 and generation and is controlled signal to high precision objective table 526 for aiming at adjustment.
As mentioned above, because power demand, usually once with a substrate annealing part.After each indivedual annealing, must be with electromagnetic energy with respect to the substrate translation to shine next annealing portion.Fig. 6 is the vertical view diagram of substrate 600, and this substrate contains 40 rectangle crystal grain 602 that are arranged to array.Each crystal grain 602 is demarcated by line of cut 604, and what these lines of cut also defined intergranule does not use zone 606.Provide the first energy projected area 608 so that the energy of first amount is invested single crystal grain 602.Generally speaking, the first energy projected area 608 can cover the area that is equal to or greater than each crystal grain 602 but add the area of the area of not using zone 606 of circle cut secant 604 less than each crystal grain 602, so that energy delivered covers crystal grain 602 fully and do not overlap with adjacent grain 602 simultaneously in the energy projected area 608.The second energy projected area 610 around the first energy projected area 608 is provided, with second the amount energy delivery to substrate 600.The energy of first amount is different from the energy of second amount usually.In some embodiments, the energy of first amount is higher and more powerful than the energy intensity of second amount.In some embodiments, the energy of first amount can be through selecting with the annealing substrate surface in the part of 608 inside, the first energy projected area.In other embodiments, the energy of first amount can be through selecting with the part of preferential fusion substrate surface in 608 inside, the first energy projected area.The energy of second amount can be through selecting with the part of preheating substrate surface in the second energy projection area 610.The sub-fraction that the preheat temperature of the second energy projected area 610 raises and may raise for the temperature that is reached in the first energy projected area 608, such as between about 30% to about 70%, or preferable about 50%.Therefore, the temperature that substrate surface is wherein kept in the second energy projected area 610 is lower than the temperature that is reached in the first energy projected area 608, so that the thermal stress that at the interface temperature gradient produces in substrate between the first energy projected area and the second energy projected area is less than destroying the required thermal stress of substrate.
Carry out annealing in process for a plurality of crystal grain 602 that whole base plate surface spread is opened, the output of substrate and/or energy source is located with respect to each crystal grain 602 and is aimed at.In one embodiment, during the anneal sequence that curve 612 explanation is carried out on to substrate 600 lip-deep each crystal grain 602, relatively moving between the crystal grain 602 of substrate 600 and energy projected area 608 and 610.In one embodiment, relatively move and to reach so that it follows curve 612 by translation substrate on x and y direction.In another embodiment, relatively move and to reach by move energy projected area 608 and 610 with respect to fixing base 600.Energy projected area 608 and 610 can be by moving energy source with respect to substrate 600 or moving by operating energy self.Use in the execution mode of electromagnetic energy one, can use optics (optics) to come operating energy and need not moving substrate or energy source.For example, one or more mirror or lens can be in mobile energy projected area 608 correspondingly and 610 o'clock with the energy drag consecutive crystal grain 602 that is throwed.
In addition, being different from can be in order to optimization output and processing quality by curve 612 represented paths, and its specific arrangements on crystal grain 602 is decided.For example, substitute the pattern that the essence spiral can be followed in the annealing path, it advances with near 602 beginnings of the crystal grain the center of substrate 600 and with the circular pattern of expansion, or advances with crystal grain 602 beginnings of an edge of substrate and to shrink circular pattern.In one embodiment, advance the annealing path along diagonal, it can be favourable advancing along the path that the diagonal that passes through crystal grain 602 is painted.This path can minimize the overlapping chance of the annealing region on the consecutive crystal grain 602.
When energy source along the annealing path when advancing, move along the surface of substrate the energy projected area.The second energy projected area 610 of Fig. 6 is before all being positioned at the first energy projected area 608 on all directions.Therefore, the second energy projected area 610 can be in order to the desire annealing portion of substrate in the preheating first energy projected area 608.Preheating reduces the influence of thermal stress to substrate, thereby prevents the destruction to the substrate of annealing region edge.
In alternate embodiments, the second energy projected area can be adjacent to the first energy projected area.For example, the second energy projected area can be in the both sides of the first energy projected area, and stretches out on the direction in annealing path.Therefore, the part of the second energy projected area, the i.e. part of advancing in the place ahead, the first energy projected area when advancing along the annealing path, but the part of the substrate of preheating desire annealing, the substrate at another part appropriateness cooling rear, annealing region simultaneously when the projection energy.Through adjusting the ability that can advantageously have rotating energy source when reaching substrate extreme with the equipment of carrying out such annealing process, so that energy source can advance in different directions, and the first energy projected area is continued to lead in the second energy projected area.
In one embodiment, as by shown in the curve 612 of Fig. 6, during annealing process, substrate 600 moves with respect to energy projected area 608 and 610.When location in the first energy projected area 608 and aligning specific die 602, energy source is invested substrate 600 so that crystal grain 602 is exposed to certain quantity of energy with energy pulse, and it lasts the duration according to specific annealing process manufacture method definition.The duration of pulse energy usually enough lacks so that relatively moving between the substrate 600 and the first energy projected area 608 do not cause any " the bluring " of crossing each crystal grain 602, that is, Energy distribution heterogeneous, and can not cause destruction to substrate.Therefore, energy projected area 608 and 610 can be moved continuously with respect to substrate 600, and the brief burst of anneal energy (short burst) influences each crystal grain 602 in the first energy projected area.The energy that influences the second energy projected area 610 can be impulse form or equally for continuous.If be impulse form, the energy of then investing the second energy projected area has a characteristic usually, it is through selecting to improve substantial portion of raising with the temperature of giving the first energy projected area (all according to appointment 30% to about 70% with the temperature with the substrate surface in the second energy projected area, or preferable about 50%), last the open-assembly time of the first energy projected area, with the heat budget of management substrate.
For example, if first energy projected area experience increases to 1 with the temperature of substrate from 20 ℃, first pulse of 300 ℃ incident energy (such as, 10 nanosecond laser pulses (laser burst), second pulse that then is passed to the incident energy of the second energy projected area should be increased at least about 600 ℃ with the temperature of the substrate in those zones at first impulse duration.If necessary, then comparable first pulse of second pulse is longer to allow the free heat temperature raising in the second energy projected area.In some embodiments, it is favourable that the second energy projected area surrounds the first energy projected area, and, stand the neighboring region of the zone of first pulse together with substrate so that surround the second pulse preheating of transmitting in the interval of first pulse one in beginning before first pulse and end after first pulse.
In other embodiments, the energy that is passed to the second energy projected area can be continuous, and the energy that is passed to the first energy projected area is impulse form.In some embodiments, the multiple energy pulse can be passed to the first energy projected area, and Continuous Energy is passed to the second energy projected area.
Fig. 7 is the diagrammatic, cross-sectional view according to the equipment 700 of another embodiment of the present invention.Equipment 700 comprises the chamber 702 that is used for treatment substrate 704.Substrate orientation is on the substrate support 706 of chamber 702 inside.In the execution mode of Fig. 7, substrate support 706 is expressed as ring, because the execution mode of Fig. 7 is from the front and back side irradiation substrate 704.In alternate embodiments, substrate 704 can only have a side to be subjected to irradiation, and can be held on the substrate support such as the exemplary substrate strutting piece 508 of Fig. 5.Lifter pin 756 with actuator 758 promotes substrate support 706 and falls so that inserts substrate and reaches and remove substrate from chamber 702.Chamber 702 has below part 708 and upper section 710, and these parts define processing volume 712 jointly.Upper section has upper wall 726 usually, and it is defining processing volume 712A above substrate 704.Upper section 710 can have the opening 714 that is used to deposit and fetch substrate, and the gas access 716 of process gas is provided from technology source of the gas 718.Upper section 710 supports first window 720, and this first window is made by the material of selecting at its light transmittance and absorbent properties.First energy source 722 is positioned chamber 702 outsides with first window 720 that first energy 724 is led.First window is preferable allow first energy 724 some or all enter in the chamber 702.
The below part 708 of chamber 702 comprises cavity of resorption locular wall 728, and it defines down processing volume 712B.Below part 708 can have the gas vent 730 that is coupled to pump 732 so that remove process gas from chamber 702.The below part 708 of chamber 702 is held second energy source 734.Second energy source 734 comprises and is used to produce second energy 738 and with a plurality of light sources 736 of these second energy, 738 guiding substrates 704.Second window 740 covers a plurality of light sources 736.Each light source is contained in the pipe 760, its can be reflexive with energy from light source 736 guiding substrates 704.Light source 736 is usually by power supply 742 power supplies.In the execution mode of Fig. 7, to guide via a-b box 744 from the electric power of power supply 742, this a-b box will be directed to one or many persons of light source 736 from the electric power of power supply 742.By the operation of control a-b box 744, optionally make light source 736 energisings.
In many execution modes, light source 736 is infrared light generator (such as a thermolamp), but it also can be configured to produce wide range light (broad-spectrum light), ultraviolet light or stride the combination of ultraviolet to the wavelength of infrared wide range.In some embodiments, light source 736 can be white light, such as Halogen lamp LED or photoflash lamp.Second energy 738 that produces by light source 736 is heated to the part of substrate 704 high temperature of the substrate that is not enough to anneal.Therefore, light source 736 serves as the preheating energy source.The part of the substrate of handling by second energy 738 704 thereby be preheating zone 746.
In many execution modes, first energy source 722 can be and can produce easy laser by the light under the wavelength of substrate 704 absorptions.In other embodiments, first energy source 722 can be photoflash lamp or white light source.First energy 724 that produces by first energy source 722 is heated to the part of substrate 704 high temperature of this part of the substrate 704 that is enough to anneal.Therefore, first energy source 722 serves as the anneal energy source.The part of the substrate of handling by first energy 724 704 thereby be annealed zone 748.
As mentioned above, preferable by section processes substrate 704.Provide actuator 750 so that first energy source 722 is positioned on the annealing region 748.Controller 752 operate actuator 750 to be being positioned first energy source 722 on the annealed zone 748, and operation a-b box 744 to be switching to electric power one or more light source 736, thereby with preheating energy drag preheating zone 746.In this way, before annealing with the part preheating of substrate.Controller 752 operation to be moving preheating zone 746 and annealed zone 748 together, so as substrate 704 before any part of annealing, be able at first preheating, but the major part of substrate 704 still keeps at ambient temperature, thereby defines peripheral region 754.
The chart that Fig. 8 A and Fig. 8 B distributed for temperature-time of showing two execution modes of the present invention.The temperature of any on each chart displaying experience heat treated substrate surface according to the embodiment of the present invention.As mentioned above, substrate moves with respect to the energy source with the energy drag substrate surface.In Fig. 8 A, when exemplary on the substrate surface moved to first preheating zone from the peripheral region, the environment temperature of the temperature of this point in environment temperature interval 800 moved between first preheating zone first preheat temperature in 802.As other place herein stating, more required than the annealing substrate surface usually temperature of first preheat temperature is low.When exemplary o'clock when first preheating zone moves to second preheating zone, the temperature of this point first preheat temperature in 802 between first preheating zone moves between second preheating zone second preheat temperature in 804.Four districts that define on the execution mode explanation substrate surface of Fig. 8 A, i.e. a peripheral region, two preheating zones and an annealed zone.When exemplary o'clock on the substrate surface when second preheating zone moves to the annealed zone, the temperature of this point second preheat temperature in 804 between second preheating zone moves between the annealed zone annealing temperature in 806.When exemplary point moves out the annealed zone and is back in the lower temperature region, its experience cooling and reach between first second preheating zone that cools in interval 808 804 condition, reach between second first preheating zone that cools in interval 810 802 condition, and finally reach between second peripheral region ambient conditions in 812.The feature that it should be noted that alternate embodiments may be in the temperature that cools during interval 808 and 810, and it is different between the preheating zone those temperature in 802 and 804.Therefore, the temperature between the comparable preheating zone of temperature in interval 808 of cooling in 802 is high or low, and the temperature in 804 is high or low between the comparable preheating zone of temperature in interval 810 of cooling.Should be appreciated that, the feature of similar execution mode can be only have between a preheating zone or two above preheating zones between.Similarly, the feature of some execution modes can be only the cool interval or the interval that cools more than two.
The chart of Fig. 8 B is described experience and is distributed according to any the temperature-time on the heat treated substrate surface of another embodiment of the present invention.In the execution mode of Fig. 8 B, exemplary on the substrate surface from 850 moving between first preheating zone 852 between the peripheral region, it is similar in appearance to the execution mode of Fig. 8 A.Exemplary point moves between second preheating zone 854 subsequently, and the temperature-time that it is characterized by variation distributes.In this embodiment, when exemplary point moves when passing through between second preheating zone 854, the temperature at this some place is increased to second preheat temperature from first preheat temperature.Rising can be depicted as linear as interval 854, or it can have some other distributes, even 854 the temperature that the rises by and large-time of being included between second preheating zone has the short interval of cooling in distributing.Exemplary point moves between the annealed zone in 856, and enters first subsequently and cool in interval 858, and this first interval temperature-time that also can have variation that cools distributes 854 distribution between its very similar second preheating zone.Exemplary point moves into second subsequently and cools in interval 860, then enters between second peripheral region in 862.
Fig. 9 is for showing the flow chart of method 900 according to an embodiment of the present invention.910, substrate is offered thermal processing chamber.920, on substrate surface, define a plurality of districts.Use has the electromagnetic energy of different capacity level and handles each district.In most cases, have at least 3 districts, but the feature of embodiments of the present invention contains two districts or the district more than three.In most cases, at least one district is the annealed zone, and it is by handling with the electromagnetic energy of annealing substrate surface through selection.In some embodiments, may wish substrate surface at least one annealed zone of fusion.In most cases, at least one district is the preheating zone.In some embodiments, one or more district can be preheating and the combination region that cools, and in other embodiments, one or more district can be special preheating or the district that cools.
On the one hand, substrate is placed on the substrate support, and with the first of the electromagnetic energy guiding substrate of first amount.In addition, with the second portion of the electromagnetic energy guiding substrate of second amount, wherein the first of substrate is around the second portion of substrate, the first of the pre-hot substrate of electromagnetic energy of first amount, and the second portion of the electromagnetic energy annealing substrate of second amount.Cross substrate and move first amount and second amount, thereby keep the constant spatial relationship between the energy of these two amounts, move so that move with energy in the zone of substrate in first and second portion.
In another aspect, the electromagnetic energy that is transmitted in these two amounts can have any characteristic of wanting.The energy of each amount can be on any degree be relevant or irrelevant, monochrome or polychrome, polarization or not polarization, and continuous or be impulse form.The energy of each amount can be made up by one or more laser, strong white light, photoflash lamp, thermolamp or its and transmit.The energy of these two amounts can transmit by the electromagnetic energy that only intensity is different, or these two amounts can differ any degree of wanting in any feature mentioned above.In one example, first amount can be transmitted by one or more laser, and each laser transmits 100W/cm at least under the wavelength less than about 850nm 2Power.Laser can be pulse energy source or continuous wave energy source.In being the execution mode of impulse form, pulse can realize by switching to the laser cycle power or by means of the optics that intermittence, blocking laser light left optical module.In another example, second amount can be transmitted by one or more lamp, and this one or more lamp is less than 50W/cm 2(all 25W/cm according to appointment 2) power stage under incoherent light is passed to second portion.
Figure 10 is the flow chart of general introduction according to the method 1000 of another embodiment of the present invention.1010, on the substrate support of substrate orientation in thermal processing chamber.1020, with the first of the first source guiding substrate of electromagnetic energy.1030, with lead the simultaneously second portion of substrate of the second source of electromagnetic energy.State as other place herein, one of these sources can be configured to transmit anneal energy, and another person is configured to transmit pre-thermal energy.1040, with substrate with respect to first energy source and the second energy source translation.The translation substrate causes that institute's energy delivered crosses substrate surface and translation, thereby by the part whole surface of annealing.In the execution mode of Figure 10, energy source essence is fixed, and substrate moves, but the feature of some execution mode can be except that substrate moves, and energy source or energy also move.The translation of substrate is usually by using movably substrate support to finish, this movably substrate support such as can be with the accurate objective table of substrate orientation in the accurate location of device interior.
In most cases, these districts are maintained under the different temperatures.In some embodiments, heat these districts by electromagnetic energy guiding substrate surface with all kinds and intensity.In the execution mode of Fig. 9,, use the electromagnetic energy of different capacity level to come each district of irradiation 930.In other embodiments, can give substrate with extra heat by the substrate support that is heated that uses the contact substrate back side.In other embodiments, can come the part of selectivity cooling base by the cooling base strutting piece at the contact substrate back side.Temperature at least one of these districts is through selecting with the annealing substrate surface.Temperature at least one of these districts is through selecting with the preheating substrate surface, and this temperature temperature more required than the annealing substrate surface is low.One district that can be the annealed zone receives maximum power levels.Other district receives the lower-wattage level.One or more district that can be the preheating zone can receive the high power grade of the power that is lower than maximum level.Other district can receive insignificant power, or can be through cooling.Some districts can be the peripheral region, wherein with the temperature maintenance of substrate at ambient temperature.
In some embodiments, can use not same district of different electromagnetic energy source irradiation.One or more laser can provide electromagnetic energy.First laser can produce the substrate that is used for annealing at the energy of the part in a district, and second laser can produce and is used for the energy of pre-hot substrate in the part in another district.In an alternate embodiments, a plurality of laser are the part of hot substrate in advance.In another embodiment, the execution mode of Fig. 7 for example, one or more thermolamp is the part of hot substrate in advance.
Comprise in the execution mode of annealed zone in a plurality of districts, preheating is provided or these districts of the function that cools can be through being shaped so that preheating or cool.On one side of annealed zone, have in the exemplary embodiment that has the district that cools on preheating zone and the opposite side, the preheating zone and the district that cools can have wedge-type shape, and the edge of the first edges abut annealed zone and extend jointly with this edge of annealed zone, and second edge is relative with first edge and ratio first edge is short, thereby forms trapezoidal shape.In alternate embodiments, preheating and cool the district shape can be triangle, and each the district an edge and the edge of annealed zone extend jointly.In other alternate embodiments, the wedge shaped end in the preheating and the district that cools is flexible, and in some embodiments, can be parabola or semicircle.
The common electromagnetic energy that moves that allows to be exposed to the atom that is intended to excite in the substrate lattice by the part with substrate in a plurality of districts with different temperatures and shape comes the short annealing substrate, keep thermal stress to be lower than the threshold value rank simultaneously, if surpass the threshold value rank, then can destroy substrate.The preheating and the district that cools allow annealing in process to begin from high temperature, quicken the rising of limiting temperature and cool during annealing.The wedge-type shape in the preheating and the district that cools can be in order to minimizing exposing without the heat of annealing portion of substrate, and this measure will minimize the atom of can be being reorientated by annealing process or may be in the non-institute desire of the atom in the position of wanting before annealing process and move.Generally speaking, the quantity in the preheating and the district that cools and shape can be through selecting to promote the annealing process of being wanted.
The feature of execution mode mentioned above is generally the district with essence steady temperature.First district maintains under first temperature, and second district maintains under second temperature, or the like.In other embodiments, one or more district can have temperature gradient so that near heating or the cooling the annealed zone.For example, in three district's execution modes, first district that can be the preheating zone can have temperature gradient, and this temperature gradient increases towards second district that can be the annealed zone.Similarly, can be the 3rd district that distinguishes that cools and to have the temperature gradient that increases towards second district.Temperature gradient provides the general utility functions identical with tapered region shape mentioned above.Can be by using optics energy that adjustment is transmitted temperature gradient be built in the given area and to be wanted Temperature Distribution to reach.
In an exemplary embodiment, having sufficient power can be through orientation with substrate that electromagnetic energy is led with the single energy source of annealing substrate.Lens with the feature of defocusing can be placed between energy source and the substrate.Lens can have first, and its corresponding first with electromagnetic energy defocuses; And second portion, it further focuses on the second portion of electromagnetic energy or it is not changed.For example, if laser is as the source of electromagnetic energy, and the shaping optics is in order to form the circular anneal energy bundle of diameter 2mm, then lens can be placed between shaping optics and the substrate, these lens have the central circular shaped section of radius 0.5mm, its by the annular concentric exterior section of radius 1.5mm around.In case of necessity, central circular shaped section can have neutral optics, maybe can focus on the part of the anneal energy bundle that is incident on this part.The annular concentric exterior section of lens can be shaped with the intensity of the exterior section that reduces the anneal energy beam.The energy that reduces intensity does not make the power of its annealing strike against on the surface of substrate with the regenerator section that is enough to pre-heated surface subsequently, and does not change or the annealing portion of focusing block annealing regenerator section inside.
Figure 11 is the flow chart of general introduction according to the method 1100 of another embodiment of the present invention.1102, on the substrate support of substrate orientation in thermal processing chamber.1104, on substrate surface, define a plurality of districts.1106, the first in these districts is kept at ambient temperature.In some embodiments, environment temperature can be room temperature, or in other embodiments, environment temperature can be high temperature.In most cases, environment temperature is less than about 200 ℃, but the feature of some execution modes can be the environment temperature up to 350 ℃.Can be heated that substrate support is kept environment temperature or by keeping environment temperature by use with the electromagnetic energy irradiation substrate that is suitable for to heat.
1108, provide second portion to institute's bounded area to be heated to one or more medium temperature higher pre-thermal energy than environment temperature.Each district can be heated to identical medium temperature, or is heated to different medium temperatures.Compare with temperature, maintain usually under the identical or higher temperature near the district of desiring the annealing region away from the district of desiring the annealing region.Comprise in the execution mode in more than one district at second portion, medium temperature can in a step-wise fashion be increased to annealing temperature from environment temperature.Temperature difference between medium temperature and the environment temperature usually between the temperature difference between annealing temperature and the environment temperature about 10% and about 90% between, such as between about 30% and about 70%, for example about 50%.Comprise in the exemplary embodiment in two districts at second portion, temperature difference between first intermediate temperature area and the peripheral region can be the about 40% of temperature difference between annealing temperature and the environment temperature, and the temperature difference between second intermediate temperature area and the peripheral region be between annealing temperature and the environment temperature temperature difference about 60%.
1110, with anneal energy provide to the third part of institute's bounded area being heated to than environment temperature and one or more high annealing temperature of medium temperature, and this anneal energy is through selecting with the annealing substrate surface.The annealed zone that comprises the third part of institute's bounded area can have any spatial relationship as herein described.In addition, in case of necessity, different annealing temperature can put on different annealed zones.
1112, can detect aforementioned temperature one or many persons and with its in order to control pre-thermal energy, anneal energy or both transmission so that these the district between thermal gradient remain on below the threshold value rank.In some embodiments, one or more heat imaging device can be in order to detect the temperature in various districts.Whether excessively the temperature in a district can be compared to determine the thermal gradient between these districts with the temperature in another district.Can regulate one or the many persons' that are passed to institute's detection zone energy based on the temperature that is detected, to increase or to reduce the thermal gradient between these two districts.If by the mobile energy source substrate of annealing, then detector can with the energy source co with around substrate along the annealed zone and preheating zone and going.If only (for example by mobile energy, use mirror) substrate of annealing, then similar optics can be in order under the guiding of controller, with detector focus on substrate handle on the part, maybe can be to whole base plate sampling and the thermal gradient that uses a computer and paid close attention to determine.
Example
In an exemplary embodiment, substrate can be positioned on the strutting piece in the Equipment for Heating Processing.Substrate can this technology in known any way remain on original position, these modes comprise static or vacuum mode.Laser be placed in substrate top and through directed so that its be created in essence perpendicular to the direction of base plan on the light beam of this substrate of bump.Laser can couple with optical module, and this optical module is through adjusting with this laser of location in three-dimensional.Laser can be through adjusting to incite somebody to action 10kW/cm at the most 2Laser energy be passed to and be measured as the annealing region that 22mm takes advantage of the substrate of 33mm.Laser is preferable through being tuned to the wavelength that is easily absorbed by substrate, such as for silicon substrate, less than the wavelength of 800nm.
In the operation, can use with the electric switch of supply coupling or with optical switch that this laser or optical module couple and come switched laser.These switches can be configured to be switched on or switched off laser in less than 1 microsecond (μ sec), continue the energy pulse of about 1 μ sec to about 10 milliseconds (msec) so that laser can transmit.
For this example, the laser co in pre-thermal light source and the optical module.Pre-thermal light source can be another laser, xenon lamp or thermolamp, and can be through adjusting electromagnetic energy transfer with 500W at the most to surrounding annealing region and the essence circular regions concentric with the annealing region, and this circle annealing region diameter measurement is about 2cm.Can use corrected lens and mirror to focus on pre-thermal light source to capture and to guide all energy of pre-thermal light source.Pre-thermal light source can be arranged in and be positioned near the shell of lasing light emitter, so that surround the substrate regions of desiring the annealing region from the rayed of pre-thermal light source.Pre-thermal light source can slight angle be aimed at the preheating regional center around the annealing region.Perhaps, pre-thermal light source can be projeced into energy on the substrate in the mode of essence perpendicular to base plan, optics in order to the light spread in the scope in the preheating zone that surrounds the annealing region.Pre-thermal light source can advantageously be located with respect to laser subsequently, so that extend away from the annealing region on the annealing path direction in the preheating zone.Optical module can rotate through adjusting in addition, so that when the annealing change direction path, pre-thermal light source is kept the vantage point for laser.
Treatment facility is preferable be configured to moveable stage by known type in this technology of use with substrate with respect to the optical module translation.In the operation, objective table with substrate orientation below optical module so that the target area of substrate is exposed to this optical module.When not having anneal energy, pre-thermal light source can be luminous continuously, comes irradiated substrate with pre-thermal energy.The continuously pre-heating energy will surround annealing the target area the zone in substrate surface be heated at least 600 ℃.Laser is in one or more pulse of emission of place, target annealing region.Pulse can be enough of short duration, so that objective table can move continuously and do not make laser pulse fuzzy according to the annealing path.The preheating zone is moved with objective table and is moved along substrate surface, thereby during near the target annealing region, these parts is heated to the target preheat temperature in the part of substrate.Therefore, directly in abutting connection with the part of the substrate of target annealing region because in the high thermal gradient of the edge of target annealing region and without undergoing damaging heat stress.
In an alternative exemplary execution mode, 2 to 4 preheating energy sources opening in the laser spaced around in optical module can be around laser.The use of a plurality of pre-thermals source allows to cross the even preheating in the whole preheating zone of substrate.Perhaps, laser can be attended by two through adjusting the different preheating energy sources with the zones of different of irradiated substrate.For example, preheating energy source can be through adjusting the border circular areas with the about 3cm of irradiation diameter, and another preheating energy source shines the circular concentric zone of the about 1.5cm of diameter, and this circular concentric zone is also concentric with the annealing region.Therefore, form two preheating zones.Two pre-thermals source can transmit the energy of analog quantity, raise so that the pre-thermal source of irradiation wide region produces the temperature littler than more concentrated pre-thermal source.In one embodiment, the pre-thermal source that shines wide zone can be heated to this zone the temperature more than 300 ℃ or 300 ℃, can rely on increment energy (incremental energy) will be somebody's turn to do than the zonule to be heated to temperature more than 700 ℃ or 700 ℃ and shine the pre-thermal source than the zonule in the wide preheating zone.The annealing pulse can be with the after annealing substrate, by transmit enough energy with the temperature with the annealing region be increased to 1,200 ℃ or 1,200 ℃ with on the substrate of annealing, the baseplate material of not fusion simultaneously.
In another exemplary embodiment, can use single energy source.For example, laser can be used for pre-thermal energy and both single-column light of anneal energy through adjusting with generation.The optics that comprises mirror, lens, filter and beam splitter makes it have institute's polarity of wanting (polarity) or coherence in order to tuning laser light usually.These optics also can comprise the lens that the part with laser light defocuses.The defocusing part and can be directed to zone subsequently of laser light around the annealing region.For example, under the cooperation of suitable optics, laser can produce the roughly cylindrical coherent beam of 1mm of diameter.Light beam can be via lens directs, and these lens have the roughly circular non-refraction middle body of 0.8mm of diameter, and internal diameter 0.8mm and external diameter defocus exterior section greater than the annular of 1mm.The part of the laser beam of the non-refracted portion of scioptics continues transmission and reaches substrate, thereby expose portion annealing with this substrate, and the part laser beam that defocuses part of scioptics, its intensity reduce and spread on wide region so that those zones are heated to lower temperature.
Though preamble is at embodiments of the present invention, under the situation that does not break away from basic categories of the present invention, can design other and other execution mode of the present invention.

Claims (15)

1. method of handling a substrate, it comprises:
With this substrate orientation on a movable substrate strutting piece;
The heat energy guiding one of one first amount is underlie first fixed position of the part of this substrate;
The heat energy guiding one of one second amount is underlie second fixed position of the part of this substrate;
Move this substrate support be positioned continuously by each institute's favored area this substrate this first fixed position and subsequently this second fixed position handle institute's favored area of this substrate; And
The part of this substrate is maintained under the temperature that is lower than 500 ℃.
2. method according to claim 1, wherein heat energy of this second amount has the power of the part of this substrate that is enough to anneal.
3. method according to claim 2, wherein the heat energy of this first amount has the power less than the required power of the part of this substrate of annealing.
One kind annealing one substrate surface method, it comprises following steps:
By apply energy to a regenerator section of this substrate with this regenerator section of preheating; And
When this regenerator section of this substrate of preheating, apply the increment energy with this annealing portion of annealing in this preheating zone by a annealing portion to this substrate.
5. equipment that is used for heat treatment one substrate, this equipment comprises:
One movable substrate strutting piece;
One first energy source, it is through the first of orientation with a surface of this substrate support that anneal energy is led;
One second energy source, its through orientation with a second portion with this surface of this substrate support of preheating energy drag; And
One optical module, it holds this first energy source and this second energy source.
6. equipment according to claim 5, wherein this first energy source is that a laser and this second energy source are a laser.
7. equipment according to claim 5, wherein this first energy source is that a laser and this second energy source are a plurality of lamps.
8. equipment according to claim 5, wherein this optical module further comprises: one first optical tuners that this anneal energy is shaped and one second optical tuners that will pre-thermal energy shaping.
9. equipment according to claim 5, it further comprises: a controller that is coupled to this substrate support.
10. equipment that is used for heat treatment one substrate, this equipment comprises:
One fixing base strutting piece;
One or more energy source, its through orientation with the first on a surface of this substrate support that anneal energy is led and with the second portion on this surface of this substrate support of preheating energy drag;
One optical module, it holds this one or more energy source; And
One actuator is used for moving this anneal energy and this pre-thermal energy with respect to this fixing base strutting piece.
11. equipment according to claim 10, wherein at least one energy source is a laser.
12. equipment according to claim 10, wherein this optical module further comprises: with one or more optical tuners of this anneal energy and this pre-thermal energy shaping.
13. equipment according to claim 10, it further comprises: a controller that is coupled to this actuator.
14. equipment according to claim 10, it further comprises: the detector of temperature of responding to one or more part of this substrate.
15. equipment according to claim 10, wherein this actuator rotates this optical module with directed this anneal energy and this pre-thermal energy.
CN200980136613.5A 2008-09-17 2009-09-03 The heat budget of management annealing of substrates Expired - Fee Related CN102160157B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US12/212,157 US20100068898A1 (en) 2008-09-17 2008-09-17 Managing thermal budget in annealing of substrates
US12/212,157 2008-09-17
US12/212,214 2008-09-17
US12/212,214 US8314369B2 (en) 2008-09-17 2008-09-17 Managing thermal budget in annealing of substrates
PCT/US2009/055838 WO2010033389A1 (en) 2008-09-17 2009-09-03 Managing thermal budget in annealing of substrates

Publications (2)

Publication Number Publication Date
CN102160157A true CN102160157A (en) 2011-08-17
CN102160157B CN102160157B (en) 2015-11-25

Family

ID=42039812

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980136613.5A Expired - Fee Related CN102160157B (en) 2008-09-17 2009-09-03 The heat budget of management annealing of substrates

Country Status (7)

Country Link
EP (1) EP2342739A4 (en)
JP (1) JP5611212B2 (en)
KR (2) KR101800404B1 (en)
CN (1) CN102160157B (en)
SG (2) SG10201807844VA (en)
TW (3) TWI549190B (en)
WO (1) WO2010033389A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103268856A (en) * 2012-01-27 2013-08-28 超科技公司 Two-beam laser annealing with improved temperature performance
CN104752174A (en) * 2013-12-30 2015-07-01 上海微电子装备有限公司 Laser annealing device and method
TWI588266B (en) * 2012-05-08 2017-06-21 應用材料股份有限公司 Magneto-thermal processing apparatus and methods
CN107112548A (en) * 2014-10-31 2017-08-29 应用材料公司 The integration of laser treatment and electrochemical element layer deposition
CN112038223A (en) * 2020-08-27 2020-12-04 上海华力集成电路制造有限公司 Method for improving wafer surface heat distribution in double-laser annealing process

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9239192B2 (en) * 2013-02-20 2016-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate rapid thermal heating system and methods
TW201610215A (en) * 2014-03-27 2016-03-16 應用材料股份有限公司 Cyclic spike anneal chemical exposure for low thermal budget processing
CN115527896A (en) * 2015-07-29 2022-12-27 应用材料公司 Laser annealing of rotating substrates
JP6887234B2 (en) 2016-09-21 2021-06-16 株式会社日本製鋼所 Laser irradiation device, laser irradiation method, and manufacturing method of semiconductor device
KR102099890B1 (en) * 2017-05-18 2020-04-14 세메스 주식회사 Substrate treating apparatus and substrate treating method
KR102180311B1 (en) 2018-07-27 2020-11-18 주식회사 코윈디에스티 Laser annealing apparatus
KR102061424B1 (en) * 2018-07-27 2019-12-31 주식회사 코윈디에스티 Low-e glass annealing apparatus

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020127830A1 (en) * 1997-03-11 2002-09-12 Semiconductor Energy Laboratory Co., Ltd., A Japan Corporation Heating treatment device, heating treatment method and fabrication method of semiconductor device
US6638800B1 (en) * 1992-11-06 2003-10-28 Semiconductor Energy Laboratory Co., Ltd. Laser processing apparatus and laser processing process
CN1497684A (en) * 2002-10-07 2004-05-19 株式会社半导体能源研究所 Method of illuminating laser, laser illuminating system and manufacturing method of semiconductor device
US20050103998A1 (en) * 2003-09-29 2005-05-19 Somit Talwar Laser thermal annealing of lightly doped silicon substrates
US20070072400A1 (en) * 2005-09-26 2007-03-29 Bakeman Paul E Jr Apparatus and methods for thermally processing undoped and lightly doped substrates without pre-heating
US20080045040A1 (en) * 2006-08-17 2008-02-21 Toshiba America Electronic Components, Inc. Laser Spike Anneal With Plural Light Sources

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5696835A (en) * 1979-12-29 1981-08-05 Fujitsu Ltd Manufacture of semiconductor device
JPS58106836A (en) 1981-12-18 1983-06-25 Hitachi Ltd Laser annealing device
JPS58176929A (en) 1982-04-09 1983-10-17 Fujitsu Ltd Manufacture of semiconductor device
JPH03266424A (en) * 1990-03-16 1991-11-27 Sony Corp Annealing process of semiconductor substrate
US6187616B1 (en) 1998-02-13 2001-02-13 Seiko Epson Corporation Method for fabricating semiconductor device and heat treatment apparatus
US6771895B2 (en) * 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
TW457553B (en) * 1999-01-08 2001-10-01 Sony Corp Process for producing thin film semiconductor device and laser irradiation apparatus
WO2001064591A1 (en) * 2000-03-01 2001-09-07 Heraeus Amersil, Inc. Method, apparatus, and article of manufacture for determining an amount of energy needed to bring a quartz workpiece to a fusion weldable condition
JP2003045820A (en) * 2001-07-30 2003-02-14 Semiconductor Energy Lab Co Ltd Laser irradiation apparatus, and method, and method of manufacturing semiconductor device
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
TWI297521B (en) * 2004-01-22 2008-06-01 Ultratech Inc Laser thermal annealing of lightly doped silicon substrates
JP2008080371A (en) * 2006-09-27 2008-04-10 Sumitomo Heavy Ind Ltd Laser beam machining method and apparatus therefor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6638800B1 (en) * 1992-11-06 2003-10-28 Semiconductor Energy Laboratory Co., Ltd. Laser processing apparatus and laser processing process
US20020127830A1 (en) * 1997-03-11 2002-09-12 Semiconductor Energy Laboratory Co., Ltd., A Japan Corporation Heating treatment device, heating treatment method and fabrication method of semiconductor device
CN1497684A (en) * 2002-10-07 2004-05-19 株式会社半导体能源研究所 Method of illuminating laser, laser illuminating system and manufacturing method of semiconductor device
US20050103998A1 (en) * 2003-09-29 2005-05-19 Somit Talwar Laser thermal annealing of lightly doped silicon substrates
US20070072400A1 (en) * 2005-09-26 2007-03-29 Bakeman Paul E Jr Apparatus and methods for thermally processing undoped and lightly doped substrates without pre-heating
US20080045040A1 (en) * 2006-08-17 2008-02-21 Toshiba America Electronic Components, Inc. Laser Spike Anneal With Plural Light Sources

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103268856A (en) * 2012-01-27 2013-08-28 超科技公司 Two-beam laser annealing with improved temperature performance
CN103268856B (en) * 2012-01-27 2017-09-12 超科技公司 The dual-beam annealing laser of tool improvement temperature performance
TWI588266B (en) * 2012-05-08 2017-06-21 應用材料股份有限公司 Magneto-thermal processing apparatus and methods
CN104752174A (en) * 2013-12-30 2015-07-01 上海微电子装备有限公司 Laser annealing device and method
CN107112548A (en) * 2014-10-31 2017-08-29 应用材料公司 The integration of laser treatment and electrochemical element layer deposition
CN112038223A (en) * 2020-08-27 2020-12-04 上海华力集成电路制造有限公司 Method for improving wafer surface heat distribution in double-laser annealing process

Also Published As

Publication number Publication date
TWI549191B (en) 2016-09-11
TW201342480A (en) 2013-10-16
SG193882A1 (en) 2013-10-30
KR20170130616A (en) 2017-11-28
EP2342739A1 (en) 2011-07-13
TWI549190B (en) 2016-09-11
WO2010033389A1 (en) 2010-03-25
KR20110053387A (en) 2011-05-20
TW201415558A (en) 2014-04-16
EP2342739A4 (en) 2013-05-22
KR101800404B1 (en) 2017-11-22
JP2012503311A (en) 2012-02-02
JP5611212B2 (en) 2014-10-22
TW201013789A (en) 2010-04-01
KR101868378B1 (en) 2018-06-18
SG10201807844VA (en) 2018-10-30
CN102160157B (en) 2015-11-25
TWI419234B (en) 2013-12-11

Similar Documents

Publication Publication Date Title
CN102160157B (en) The heat budget of management annealing of substrates
US9595459B2 (en) Managing thermal budget in annealing of substrates
US8314369B2 (en) Managing thermal budget in annealing of substrates
US7005601B2 (en) Thermal flux processing by scanning
TWI569347B (en) Pulse train annealing method and apparatus
US4482395A (en) Semiconductor annealing device
KR100722724B1 (en) Apparatus having line source of radiant energy for exposing a substrate
TW384506B (en) Formation of ultra-shallow semiconductor junction using microwave annealing
TWI497600B (en) Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
TWI489554B (en) Suitably short wavelength light for laser annealing of silicon in dsa type systems
US9263265B2 (en) Crystallization of amorphous films and grain growth using combination of laser and rapid thermal annealing
US8796769B2 (en) Thermal flux annealing influence of buried species
CN102498552A (en) Methods of solid phase recrystallization of thin film using pulse train annealing method
TWI622099B (en) Apparatus and method to reduce particles in advanced anneal process
US8071908B1 (en) Edge with minimal diffraction effects
JPH01216520A (en) Annealing method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20151125

Termination date: 20190903