CN101767719B - The disposal system of substrate, transmission system and transmission method and mobile transverse chamber - Google Patents

The disposal system of substrate, transmission system and transmission method and mobile transverse chamber Download PDF

Info

Publication number
CN101767719B
CN101767719B CN200910150907.5A CN200910150907A CN101767719B CN 101767719 B CN101767719 B CN 101767719B CN 200910150907 A CN200910150907 A CN 200910150907A CN 101767719 B CN101767719 B CN 101767719B
Authority
CN
China
Prior art keywords
substrate
mobile transverse
chamber
transverse chamber
technical module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200910150907.5A
Other languages
Chinese (zh)
Other versions
CN101767719A (en
Inventor
雷仲礼
麦华山
刘弘苍
朴乾兑
朴相珣
罗应聪
吴子仲
朱乐聪
罗恩·罗斯
申镇宇
王晓明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Archers Inc
Original Assignee
Archers Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Archers Inc filed Critical Archers Inc
Publication of CN101767719A publication Critical patent/CN101767719A/en
Application granted granted Critical
Publication of CN101767719B publication Critical patent/CN101767719B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces

Abstract

The invention provides a kind of disposal system of substrate, transmission system and transmission method and mobile transverse chamber, it comprises two or multiple technical module, a substrate transfer apparatus, a unloading chamber and a horizontal substrate board treatment.Horizontal substrate board treatment comprises mobile transverse chamber, in order to substrate is transported to technical module.When transporting substrate, each mobile transverse chamber maintains has a specific gas condition.Horizontal substrate board treatment separately comprises a track and a drive system, and track is used to support mobile transverse chamber and the adjacent place being located at technical module entrance, and drive system is used for mobile transverse chamber is moved in orbit.

Description

The disposal system of substrate, transmission system and transmission method and mobile transverse chamber
Technical field
Embodiment described in the invention be generally relate to a kind of be used for treatment substrate system (system) and method, wherein this substrate citing but be not limited to glass and other is used in solar power or photovoltaic (photovoltaics, PV) substrate of industry, and be used in the wafer of semi-conductor industry.And embodiments described herein relate to the System and method for that one comprises one or more mobile transverse chamber (mobiletransversechamber) transmission base plate between multiple technical module (processmodule) especially.
Background technology
The making of semiconductor element, two-d display panel and photovoltaic or solar cell needs to perform multiple technique to various substrate, such as etching, chemical vapor deposition (chemicalvapordeposition, CVD), sputter (sputtering) and the technique such as clean, to make predetermined device or product.These techniques may be single and other technique board (processtool) individual or module (module) perform a single processing step respectively by one.Owing to carrying out multiple processing step, substrate just must be transferred into next technique board by a technique board, therefore easily causes breakage or the pollution of substrate.Moreover transmitting substrate between different process board can increase integrated artistic time and manufacturing cost.
Industry has employed kinds of processes construction design.The flow process of consistent formula work production line manufacturing system (inlineprocessingtool) of tradition is that multiple technique board is arranged in line style mode, and sequentially substrate is sent to next technique board by a technique board, known to industry, it has the not good problem of flow path efficiency, particularly when each technique board needs the different process times.For example, when the necessary distinctly downstream process board that the wait process time is veryer long of the substrate completed via technique board process is faster to carry out next technique, just flow bottlenecks can be produced.
Therefore, industry have developed system construction design, to provide the multiple technique board that can carry out multiple technique.Common multiple technique board such as clustering formula (cluster) system.Clustering formula system employs with multiple technological reaction chamber units (processchamberunit) of ring style arrangement, these technological reaction chamber units can be connected to a single and huge and irremovable vacuum transfer (vacuumtransferchamber) substantially, it has a vacuum and transmits Handling device (vacuumtransferrobot), to be transmitted between above-mentioned process reaction chamber (processchamber) via multiple unloading chamber (loadlockchamber) by substrate.Because substrate is carried out different technique by transmitting in single process equipment, thus its contaminated possibility just reduces.In addition, substrate can be transmitted more quickly between technological reaction chamber unit, can shorten the integrated artistic time.
But traditional clustering formula system still has some significantly limiting condition.The first, the process equipment that clustering formula system comprises has its quantity limitation actually.In order to be added to by process equipment in the group device of clustering formula system, substrate is transferred to technological reaction chamber unit by transfer chamber to provide enough spaces by the size that must increase transfer chamber, therefore needs the transmission Handling device with longer extension distance.Moreover, if desired in former group device, add a new board again, and when current clustering formula equipment does not have enough spaces to hold this new board, then just must design a brand-new clustering formula equipment.Therefore, such system is unfavorable for upgrading and expanding.
Second point, above-mentioned large-scale fixing vacuum transfer has complicated machine design, and not easily for the transmission of large substrate.For example, the large-size glass or the silicon substrate that are used for making photovoltaic or flat panel just need larger radius of rotation, to rotate corresponding large-scale vacuum transfer chamber, need large-scale vacuum could carry out above-mentioned substrate driver routine rapidly with expensive Handling device element simultaneously.
Moreover making this kind of photovoltaic may have various different process time period from the processing step required for semiconductor product, serious bottleneck can be caused on production line.Such as when making photovoltaic cell, need the multilayer film making various thickness with depositing operation.Usual intrinsic layer (intrinsiclayer, I-layer), N-type doped layer (n-dopedlayer, N-layer, or claim minus layer) and P type doped layer (p-dopedlayer, P-layer, or claim eurymeric layer) depositing operation need visibly different sedimentation time, to reach predetermined thin film layer thickness.If after the rete that deposition one process time is shorter, when then will carry out the rete deposition of a needs longer process time again, the making of the second rete just can produce bottleneck, and then limitations affect production efficiency, this problem can be more obvious in the manufacturing course of continous way or consistent formula operation, and in the making of multiple junction type (multiplejunction) photovoltaic cell, the problems referred to above are more serious again.
So known system and flow process still need to be further improved.
Summary of the invention
Generally speaking, embodiment described in the invention is about being used for the System and method for for the treatment of substrate, above-mentioned substrate citing but be not limited to glass and other is used in the substrate of solar industry (solarindustry) or photovoltaic industry (photovoltaicindustry), and be used in the wafer of semi-conductor industry.More properly, embodiment described in the invention relates to a kind of base plate processing system and method that comprise one or more mobile transverse chamber, to be used for transmission base plate between different process module.
In certain embodiments, the invention describes the system of processing substrate, it comprises one or more mobile transverse chamber, can move between two or multiple technical module, with these two or multiple technical modules among, one or more substrate is transported to one of them technical module.The design of each mobile transverse chamber comprises when it moves or transport one or more substrate to these technical modules between technical module, can maintain individually and have a specific gas condition.
In another embodiment, the invention provides a kind of system for the treatment of substrate, it comprises: two or multiple technical module, and each technical module comprises a process reaction chamber being used for treatment substrate; One substrate transfer apparatus (substratehandlingrobot); One unloading chamber, is used for receiving the substrate transmitted by substrate transfer apparatus; And a horizontal substrate board treatment (transversesubstratehandler), be used for the substrate that accepts to be transmitted by unloading chamber and substrate is sent to this two or multiple technical module at least one of them.Horizontal substrate board treatment comprises one or more mobile transverse chamber substantially, can this two or multiple technical module between move, and one or more substrate can be transported to this two or one of them of multiple technical module plant.Its special advantage is when each mobile transverse chamber moves or transports one or more substrate between described technical module, can maintain individually and have a specific gas condition.
This system can be designed to have single line style (ingleline) or consistent formula operation (in-line) system, that is these horizontal substrate board treatments and technical module are spread configurations in a linear fashion, mobile transverse chamber is then move linearly along a track.In addition, the present invention can provide two parallel or two consistent formula operation (dualin-line) systems, and each straight line configuration optionally respectively has different length.Moreover mobile transverse chamber can for the technical module being positioned at its two opposite sides.Be different from known consistent formula system, as hereinafter described in more detail, the invention provides more elasticity and alerting ability, bottleneck problem and increase yield can be reduced.According to the present invention, the arrangement of other kind of form or set-up mode are also possible.For example (but being not limited thereto), present system also can be configured to a clustering formula system, and wherein multiple technical module and horizontal substrate board treatment are arranged and be set as annular, U-shaped or other shape.Further, present system can adopt the technical module of multiple lamination (stacked) and the horizontal substrate board treatment of multiple lamination of arranging in pairs or groups.Therefore, according to following described several specific embodiments with describing, those skilled in the art should understand, according to spirit of the present invention and scope, the present invention still can have various other system configuration and arrangement mode.
Described by following embodiments, base plate processing system of the present invention comprises the technical module of two or more, a substrate transfer apparatus, a unloading chamber and a horizontal substrate board treatment, to receive multiple substrates of being transmitted by unloading chamber, and described substrate is sent to above-mentioned two or multiple technical module at least one of them.Each technical module comprises a process reaction chamber and can be used to process described substrate, and unloading chamber is then used to receive the substrate transmitted by substrate transfer apparatus.Horizontal substrate board treatment comprises one or more mobile transverse chamber, with one or more substrate is transported to this two or multiple technical module at least one of them.Each mobile transverse chamber transport this one or more substrate time, under a specific gas condition can be maintained individually.Horizontal substrate board treatment also comprises one or more track to make this one or more mobile transverse chamber energy transverse shifting, and its middle orbit is provided at the adjacent place of the entrance of described technical module.The horizontal substrate board treatment of the present invention separately can comprise one or more drive system and move in orbit to order about this one or more mobile transverse chamber.
The present invention separately provides and transmits the method for multiple substrate to one or more technical module, it comprises and make one or more transport the mobile transverse chamber on this track, it is the adjacent place being arranged on this one or more technical module, wherein when mobile transverse chamber is when moving or at transport substrate, each mobile transverse chamber can maintain has a specific gas condition.The method also comprises one of them kind described substrate being loaded at least one or more mobile transverse chamber, and starts (actuating) one or more drive system to promote at least one kind of along rail moving of this one or more mobile transverse chamber.In addition, the method separately comprise by described substrate at least one of them by this mobile transverse chamber be maintained under this specific gas condition be transported to this two or at least one of multiple technical module.
On the other hand, the present invention separately provides again a kind of method transmitting substrate between two or multiple technical module or loading-unload station (loadlockstation), it comprises: at least one substrate is loaded into one or more this transverse shifting indoor, wherein said mobile transverse chamber by be located at this two or a track of multiple technical module adjacent place carried, and when transporting substrate, under each mobile transverse chamber maintains a specific gas condition; Start one or more drive system to promote this one or more mobile transverse chamber along this track; This mobile transverse chamber is made to be engaged at least one kind of side of described technical module; And from this mobile transverse chamber, at least one substrate is transported to this technical module at least one.
Again on the other hand, The embodiment provides when elastic transport substrate, heat leakage can be reduced to minimum.For example, in the embodiment as explanation, the invention provides the method transmitting one or more substrate between multiple technical module or loading-unload station, it comprises following steps: substrate S1 position being begun to process together to position (initialprocessinglocation) P1 confirms a target location (destinationlocation) D1, if a substrate S2 in use target position D 1, then makes substrate S1 maintain initiated process position P1.If target position D 1 is spendable, then substrate S1 is sent to target position D 1.In addition, if target position D 1 just use by substrate S2, then the inventive method is separately included as substrate S2 and confirms a target position D 2.In certain embodiments, the inventive method also comprises a step: according to substrate S1 or S2 whichever needs longer processing time, and determine first to be sent to its corresponding target position D 1 or D2 by one of substrate S1 or S2.
Again on the other hand, the invention provides a process module facility, it comprises: at least one process reaction chamber is located in a framework (frame), a base (subfloor) is disposed adjacent with this process reaction chamber, at least one stationary pump with electronic box (electricalbox) is located on this base and gas control path (gascontrolline) and vacuum exhaust circuit (vacuumexhaustline) to be located at this base interior and be connected to this process reaction chamber.
Accompanying drawing explanation
Other object of the present invention, effect, refer to drawings and Examples, be described in detail as follows, wherein:
Figure 1A illustrates the perspective diagram of a kind of system of one embodiment of the invention.
Figure 1B illustrates the upper schematic diagram of a kind of system of one embodiment of the invention.
Fig. 1 C illustrates the front-view schematic diagram of a kind of system of one embodiment of the invention.
Fig. 2 illustrates the upper schematic diagram of a kind of system of another embodiment of the present invention.
Fig. 3 illustrates the upper schematic diagram of a kind of system of another embodiment of the present invention.
The wire loop configurations that Fig. 4 illustrates a kind of system of a more embodiment of the present invention looks simplified schematic diagram.
Fig. 5 illustrates the front-view schematic diagram of a kind of system of one more embodiment of the present invention.
Fig. 6 A and Fig. 6 B illustrates top view and the isometric view of also a kind of system of an embodiment of the present invention respectively.
Fig. 7 to illustrate in a kind of system of one embodiment of the invention mobile transverse chamber at the top-level view of the joint pattern at loading-unload station place.
Fig. 8 illustrates the partial isometric view being carried on the mobile transverse chamber on track in a kind of system of one embodiment of the invention.
Fig. 9 illustrates the partial perspective sectional view of mobile transverse chamber in a kind of system of some embodiments of the present invention.
Figure 10 and Figure 11 illustrates the partial perspective sectional view in advanced position and extended position of mobile transverse chamber in a kind of system of some embodiments of the present invention respectively.
Figure 12 illustrates the transparent view of the transmission Handling device unit of mobile transverse chamber in a kind of system of one embodiment of the invention.
Figure 13 illustrates in a kind of system of one embodiment of the invention the lateral plan with the mobile transverse chamber engaging unit.
Figure 14 illustrates the part sectional view being carried on the mobile transverse chamber on track in a kind of system of one embodiment of the invention.
Figure 15 a kind ofly transmits the method flow diagram of substrate to two or more technical modules for what illustrate according to some embodiments.
The method step diagram of circuit that Figure 16 a and Figure 16 b illustrates the mark will of one embodiment of the invention respectively and the diagram of block sequentially transmitted.
Figure 17 illustrates the transparent view with the technical module integrating interconnected system component of one embodiment of the invention.
Main element nomenclature in accompanying drawing
100 systems; 110 horizontal substrate board treatments; 112 mobile transverse chambers; 114,178 tracks; 116 drive systems; 120 unloading chamber; 122,124 long and narrow entrances; 130 temperature boosters; 140 cooling frames; 150,152,152-1,152-2,152-3,152-4,152-5 technical module; 160 linear motor units; 170 transmit Handling device unit; 172 substrate placing stages; 172a pushes up substrate placing stage; 172b substrate plummer; 174 oscillating arm mechanisms; 176 bifurcated element; 179 brake equipments or shock absorber; 180 swing arms; 183 gutter channel; 182 slides; 190 engage unit; 192 vacuum flange arrangement; 194 films or retractable sack; 196 portable air extracting pumps; 198 buffering intermediary bleeding points; 199 buffering intermediary aie escape valves; 200 stationary pumps; 210 horizontal alignment mechanisms; 212 equilibrium orbits; 214 safe guide rollers; 300 technical module equipment; 302 process reaction chambers; 306 bases; 310 electronic control packages; 1010,1020,1030,1040,1210,1220,1230,1240,1250,1260 steps; 1200 scheduler; S1, S2 substrate; D1, D2 target chamber; P1 process reaction chamber; 1300 computer systems; 1302 processing units; 1304 communication interfaces; 1305 input medias; 1306 read out instruments; 1308 communication buss; 1310 internal memories; 1311 operating systems; 1312 network communication modules; 1320 transmission operational modules; 1330 process reaction chamber operational modules; 1340 schedule module.
Detailed description of the invention
Generally speaking, the embodiment described in this is relevant with the System and method for of processor card, such as, for glass and other substrate and the wafer for semi-conductor industry of solar industry or photovoltaic industry, but the present invention is not limited thereto.More specifically, comprise in the system and method that some embodiments described by this are relevant with treatment substrate with one or more mobile transverse chamber transmission base plate between technical module and other platform (as loading-unload station).
In certain embodiments, provide a kind of system for the treatment of substrate, it comprises: one or more mobile transverse chamber moves between two or multiple technical module, and transports at least one in one or more substrate to two or multiple technical module.When being displaced between technical module and when one or more substrate is transported to technical module, each mobile transverse chamber maintains a specific gas condition independently of one another.
The present invention separately provides a kind of method transmitting substrate to two or multiple technical module, it comprises one or more mobile transverse chamber of transport, wherein mobile transverse chamber to be carried on track and to be adjacent to two or multiple technical module, and each mobile transverse chamber is mobile or transport substrate and can maintain a specific gas condition therebetween independently of one another.The method also comprises at least one of mounting substrate to one or more transverse shifting indoor, and starts one or more drive system to promote at least one of one or more mobile transverse chamber along track.In addition, substrate is transported at least one of the one two or multiple technical module during being also included in and maintaining specific gas condition by the method from least one of one or more mobile transverse chamber.
Please refer to a kind of system schematic of one embodiment of the invention that Figure 1A, Figure 1B and Fig. 1 C illustrates.System 100 comprises horizontal substrate board treatment 110, unloading chamber 120 and two or more technical modules 150,152 substantially, and wherein each technical module 150,152 comprises one in order to the process reaction chamber for the treatment of substrate.
Utilize system 100 of the present invention and method, substrate and the wafer of any number can be processed.For example, as the photoelectric substrates such as silicon, glass or metal sheet can be made into solar cell.With regard to advantage of the present invention, system of the present invention can use various processing method to form multiple device and application adaptively.
In one embodiment, multiple plasma gain chemical vapor deposition (plasma-enhancedchemicalvapordeposition, PECVD) setting of module can deposit each layer for the doped layer and undoped layer producing photovoltaic devices (photovoltaicdevice) respectively, such as P type doping (silicon layer as doped with boron), I type (as intrinsic silicon layer) and N-type doping (silicon layer as Doping Phosphorus).In other embodiments, each technical module also only can deposit the layer of single kenel, such as, wherein one in P type doping, I type or N-type doping.
In a demonstration example, be utilize system 100 of the present invention to make photovoltaic cell (photovoltaiccell) or the solar cell (solarcell) of single junction (singlejunction).More specifically, can utilize and there is transparent conductive oxide (transparentconductiveoxide, TCO) glass substrate of film deposits, and as utilized the glass substrate with zinc oxide (ZnO), but the present invention is not limited thereto.Utilizing after laser cutting parameter cuts including transparent conducting oxide layer, the succeeding layer of P type doped silicon, intrinsic silicon and N-type doped silicon in system of the present invention, just can be deposited.Most telolemma can be divided into multiple battery unit further, then deposits formation one TCO back electrode layer (backcontactlayer).
The advantage of the present invention's resilient infrastructure on the whole can allow user with optionally initialization system layout.In order to form solar cell or photovoltaic cell, system 100 of the present invention utilizes more technical module to deposit I type silicon layer or N-type silicon layer and to utilize relatively less technical module to reach in the mode depositing P-type silicon layer.As shown in Figure 1A, multiple intrinsic silicon layer/N-type silicon layer module 152-1,152-2 ... 152-5 is arranged at system 100 continuously.It is because deposited n-type doped layers and intrinsic layer need the process time more grown than deposition P type doped layer, therefore, the technical module that system 100 provides a greater number be used for deposition intrinsic silicon layer with and N-type silicon layer, and the technical module being configured with lesser amt is to be used for depositing P-type silicon layer, effectively to speed monolith substrate handler.
In another demonstration example, system 100 of the present invention can be utilized to repeat the deposition of P-I-N layer to make stacked solar cell (tandemsolarcell) or multiple junction (multiplejunction) solar cell.In another embodiment, the making of multiple junction solar cells is that the depositing operation undertaken by multiple line configuration system (multi-linesystemconfiguration) of the present invention is realized.
System construction embodiment
With reference to transparent view, top view and lateral plan that Figure 1A, Figure 1B and Fig. 1 C illustrates respectively.System 100 comprises horizontal substrate board treatment 110, unloading chamber 120 and two or more technical modules (processmodule) 150,152 substantially, and wherein each technical module 150,152 comprises one in order to the process reaction chamber for the treatment of substrate.
Generally can obtain substrate or wafer from the main process line photoelectricity factory, semiconductor factory or wafer foundries or conveyer.Well known technique person should be understood that substrate transfer apparatus (not illustrating) is set from main process line pickup substrate usually, and substrate is transported to specific workstation to carry out specific handler.In a demonstration example, can setting substrate Handling device transport substrate to unloading chamber 120, wherein this area those who familiarize themselves with the technology all know that general substrate transfer apparatus comprises end effector (endeffector does not illustrate).In certain embodiments, Handling device can transport multiple substrate simultaneously.For example, after the substrate of a process picks up in unloading chamber 120, Dual-arm Handling device system (dual-bladetyperobotsystem) can be used to transmit a substrate from main line.Substrate transfer apparatus can take the mode of parallel motion that substrate is transported to unloading chamber 120, then is transported back to main line from unloading chamber 120 by substrate.On the other hand, substrate transfer apparatus is also optionally another transmits in the module of lamination or between multiple modules of differing heights in the mode of vertically movement.For example, and please refer to Figure 1A and Fig. 1 C, at place of close unloading chamber 120, system 100 optionally comprises temperature booster 130 (pre-heater) and cooling frame 140 (cooldownrack).According to required process sequence, substrate transfer apparatus vertically can move and substrate is transmitted between manufacturing line and temperature booster 130 and/or cooling frame 140 and/or unloading chamber 120.In other embodiments, one rotates Handling device (rotatingrobot) is positioned near conveyer place, and between temperature booster 130 and cooling frame 140 and unloading chamber 120, wherein temperature booster 130 and cooling frame 140 sides at Handling device, and unloading chamber's 120 opposite sides at Handling device.So, Handling device can simultaneously for unloading chamber 120 and temperature booster/cooling frame.
Unloading chamber 120 can comprise two long and narrow entrances 122,124.First long and narrow entrance 122 can receive a substrate from substrate transfer apparatus, and allows the substrate processed leave from system 100 and be back to main line.Substrate can in order to transport a substrate to horizontal substrate board treatment 110, and transport from horizontal substrate board treatment 110 by the second long and narrow entrance 124.Normally, unloading chamber 120 can be substrate or wafer produces an isolation environment and maintains a desired gas condition.In certain embodiments, this desired gas condition is a low pressure or a vacuum environment.
Horizontal substrate board treatment 110 usually can Self-loading-unloading room 120 receive multiple substrate and transmit substrate in two or more technical modules 150,152 at least its one of.Horizontal substrate board treatment 110 comprises one or more mobile transverse chamber 112, track 114 and one or more drive system 116 usually.
Each mobile transverse chamber 112 can transport one or more substrate in two or more technical modules 150,152 at least one of them.Mobile transverse chamber 112 carried by track 114, and promotes mobile transverse chamber 112 by one or more drive system 116 along track 114.In certain embodiments, mobile transverse chamber 112 is used to transport single substrate.In other embodiments, mobile transverse chamber 112 is used to transport two substrates, and wherein first substrate carries out transporting to process at one of technical module 150,152, and second substrate then processes at another of technical module 150,152.In another embodiment, mobile transverse chamber 112 transports substrate in couples.
With regard to advantage, horizontal substrate board treatment 110 comprises two or multiple mobile transverse chamber, and wherein when mobile transverse chamber moves between technical module, each mobile transverse chamber 112 can maintain a gas condition independently of one another.In other words, during transport substrate, the gas condition that user can specify each mobile transverse chamber to maintain, wherein the gas condition of each transverse shifting indoor can be different.So, substrate can be made to have process flexibility.For example, in order to hold more than a mobile transverse chamber, under maintenance one gas condition, a transfer station (handoffstation does not illustrate) can receive substrate from a mobile transverse chamber and be transported to another mobile transverse chamber.
In certain embodiments, horizontal substrate board treatment 110 can comprise two mobile transverse chambers 112.During transport substrate, each mobile transverse chamber can maintain a specific gas condition independently of one another.In certain embodiments, gas condition is the gaseous tension of transverse shifting indoor.In other embodiments, gas condition is the kenel of the gaseous environment of transverse shifting indoor, for example, it can comprise air or helium (Helium, He), neon (Neon, Ne), argon gas (Argon, Ar), Krypton (Krypton, Kr), the blunt gas such as xenon (Xenon, Xe).Because mobile transverse chamber comprises an isolation environment, so mobile transverse chamber can maintain a desired chemical environment, for example, reacting gas can be selected as gas condition, as silicomethane (silane, SiH 4) gas, oxygen (oxygen, O 2), dichlorosilane (dichlorosilane, SiCl 2h 2) gas, nitrous oxide (nitrousoxide, N 2o) gas, tetraethoxysilane (tetraethylorthosilicate, TEOS, Si (OC 2h 5) 4) gas, phosphine (phosphine, PH 3) gas, arsenic hydride (arsine, AsH 3) gas, diborane (diborane, B 2h 6) gas etc. and composition thereof.And the range of pressure of gas can comprise vacuum to barometric pressure.
With regard to another viewpoint of the present invention, mobile transverse chamber 112 not only can maintain desired gas condition, also can maintain a desired thermal environment in addition.In the present embodiment, can heat mobile transverse chamber.In the present embodiment, mobile transverse chamber also comprises a thermal source.For example, under maintaining a high aerobic environment in the chamber, heating and transverse can be utilized to move the inside of room to facilitate oxidizing action or to make spontaneous oxide coating Nature creating, but the present invention is not as limit.
In an illustrative examples, the gas of transverse shifting indoor can maintain in the scope of 500 ~ 1000 millitorrs (mTorr), is when more normal in the scope of 50 ~ 100mTorr.In certain embodiments, mobile transverse chamber maintains a gas condition draught head of mobile transverse chamber and technical module (Δ P) can be made to drop in the scope of 10 ~ 500mTorr.
Track 114 carries one or more mobile transverse chamber 112, and its middle orbit 114 is in the entrance near technical module 150,152.In certain embodiments, track 114 carries the weight of mobile transverse chamber 112.In further embodiments, track 114 maintains the sense of motion of mobile transverse chamber 112.For example, track can be a bearing track, and wherein bearing track contacts with mobile transverse chamber by one or more mechanical bearing (mechanicalbearing), to carry the weight of mobile transverse chamber 112.In another embodiment, track 114 can be a drive track, and wherein drive track can be used to promote mobile transverse chamber 112.In another embodiment, track 114 comprises a guide rail (guide) further, and the sense of motion of the bootable mobile transverse chamber 112 of its middle guide is to prevent transverse module from rotating or to tilt.Track also can load level positioning mechanism (levelingmechanism).Single track 114 can provide above-mentioned several functions.In certain embodiments, system 100 can comprise two or more tracks 114.In further embodiments, system 100 can comprise a mobile transverse chamber 112 on each track 114.In other embodiment, system 100 comprises two or more mobile transverse chambers 112 on each track.Single track can comprise two parallel load members to share the load-carrying of delivery module and to prevent module from rotating along axis of an orbit.
Mobile transverse chamber 112 promotes by respective drive system 116.In certain embodiments, drive system 116 needs extra component (drive track as the above-mentioned) with mobile mobile transverse chamber 112.For example, drive system 116 can comprise a linear motor (linearmotor), a tooth bar (rack) and gear (pinion) system, or a pulley (pulley) and conveyer system (beltsystem).In further embodiments, each mobile transverse chamber 112 has respective drive system 116.In other embodiment, drive system 116 can belong to a part for track 114.Drive system 116 is optionally independent of one another with track.One cable rail system (cabletracksystem) can be used to air feed and provide power supply to horizontal substrate board treatment.
Technical module 150,152 can be made up of any technical module being applicable to photovoltaic devices (PVdevice) and semiconductor technology.For example, the technical module be applicable to comprises CVD chamber (chemicalvapordepositionchamber, CVDchamber), plasma gain CVD chamber (plasmaenhancedchemicalvapordepositionchamber, PECVDchamber), atomic layer deposition chamber (atomiclaverdepositionchamber, ALDchamber), etching chamber (etchingchamber), physical vapor deposition chamber (physicalvapordepositionchamber, PVDchamber), annealing furnace (annealingfurnace), rapid thermal anneler (rapidthermalannealingfurnace, RTPfurnace), aumospheric pressure cvd room (atmosphericpressureCVDchamber, APCVDchamber), steam application chamber (evaporativecoatingchamber) etc., but the present invention is not limited thereto.
The present invention also has many possibilities that other is implemented, for the selection embodiment illustrated by Fig. 2 ~ Fig. 4.More specifically, as in Fig. 2 two parallel systems illustrating, mobile transverse chamber 112 can for technical module 150,152 institute, and technical module 150,152 is arranged at the adjacent place of mobile transverse chamber 112 two opposite sides.In the present embodiment, a mobile transverse chamber 112 by track 114 carry and position between multiple technical module, wherein mobile transverse chamber 112 comprises two positions at the opening of the offside of mobile transverse chamber 112 or slit 154,155.
Please refer to Fig. 3, it illustrates a U-shaped clustering formula system.In the present embodiment, technical module and horizontal substrate board treatment be configured in one have multistage track 114a, 114b and 114c U-shaped arrangement in.System is also optionally configured in a merry-go-round arrangement, as Fig. 4 the technical module that illustrates and track be namely configured in merry-go-round arrangement.
In order to the rate of increasing productivity or minimizing process time, in some embodiments of system of the present invention, laminated type technical module can be utilized and reached by the horizontal substrate board treatment with combination stack, as shown in Figure 5.
In demonstration example described above, the transport of substrate is carry out in the mode of horizontal arrangement with process.Select, in embodiment, vertically to transport substrate one, wherein these substrates usual (but inessential) are for paired, as shown in Figure 6A and 6B.In this example, technical module during technique in configuration can vertically bearing substrate, and the configuration of mobile transverse chamber can be used to the substrate transmitting one or more arranged perpendicular.
So, when a specific implementation method describes in this, well known technique person should understand other system layout various and be configured in the possibility of scope of the present invention and instruction.So, the elasticity of system of the present invention makes multiple systems structure and layout become possibility.
Horizontal substrate board treatment and mobile transverse chamber
System of the present invention has great elasticity when treatment substrate, particularly heavy and unmanageable large plate base.In addition, elasticity of the present invention makes complicated process be able to complete in the system integrated.For example, the present invention can make substrate carry out parallel processing, and this has superiority the substrate of long time treatment and short time process especially for needing simultaneously, but the present invention is not as limit.And advantage also have, under maintenance one desired gas environment, mobile transverse chamber of the present invention can move between two or multiple technical module, and transport in one or more substrate to two or multiple technical module at least one of them.During being displaced between technical module and transporting one or more substrate to technical module, each mobile transverse chamber can maintain a specific gas condition independently.Please refer to birds-eye view (topplanview) and block diagram (isometricviews) that Fig. 7 and Fig. 8 illustrate respectively, it represents an embodiment of mobile transverse chamber 112.In the figure 7, be illustrate joint (docking) state of mobile transverse chamber at 112 unloading chamber or loading-unload station 120 place.Mobile transverse chamber 112 carried by track 114, and the drive system 116 of the present embodiment is made up of a linear motor unit 160, and wherein linear motor unit 160 promotes mobile transverse chamber 112 in the line style mode along track 114.
In order to substrate being sent to mobile transverse chamber 112 and substrate transmission being returned, and substrate is sent to a specific technical module or other platform and substrate transmission is returned, mobile transverse chamber 112 also comprises a transmission Handling device unit (transferrobotassembly) 170.
In general, transmit substrate that Handling device unit 170 can guarantee in mobile transverse chamber 112 be positioned at during the transmission as Figure 10 the advanced position (retractedposition) that illustrates, and substrate can be moved to during technique as Figure 11 technical module in the extended position (extendedposition) that illustrates and other platform substrate is retracted from technical module and other platform.
In certain embodiments, transmit Handling device unit 170 and comprise a substrate placing stage (substrateholder) 172 and a linear actuators (linearactuator).Substrate placing stage 172 can carry two or multiple substrate.For example, substrate placing stage can have multiple groove (slot) to carry two or multiple substrate.In other embodiments, substrate placing stage can carry two or multiple case (cartridge), and wherein each case can be used to carry one or more substrate.Linear actuators movable substrate plummer is loaded to a dead slot (emptyslot) on substrate placing stage to make substrate or case, or the groove (loadedslot) that a substrate or a case can have been loaded from one substrate placing stage unloads.Line style actuator can be made up of any applicable actuating mechanism, such as track and linear motor, tooth bar and gear train, or pulley and conveyer system, but the present invention is not as limit.
In an illustrative examples, as shown in Fig. 9 ~ Figure 11, transmit Handling device unit 170 and be made up of substrate placing stage 172, wherein substrate placing stage 172 started by an oscillating arm mechanisms (swingarmmechanism) 174.Substrate placing stage 172 can be formed by the strut member be applicable to arbitrarily.In one example, substrate placing stage 172 complete dull and stereotypedly to form by one.In another example, as shown in the figure, substrate placing stage 172 is made up of multiple bifurcated element (prongs) 176, wherein bifurcated element 176 supporting substrate.In the present embodiment, substrate placing stage can comprise track 178 in the outer rim of bifurcated element 176 further.Track 178 can at its edge supports substrate; and a central authority (centeringmechanism) can be comprised; as stop device (stop) or shock absorber (bumper) 179, to be used for concentrating and protective substrate in transmitting procedure.
Substrate placing stage 172 can carry one or more substrate, and in one embodiment, mobile transverse chamber 112 can put two substrates, as Fig. 9 the cutaway view that illustrates.This example provides top substrate placing stage 172a and substrate plummer 172b, and preferably, each substrate placing stage is independent setting to increase the elasticity of system and to boost productivity.
As shown in figs.10 and 11, the oscillating arm mechanisms 174 of movement between advanced position and extended position can be used to start substrate placing stage 172.As shown in figure 12, oscillating arm mechanisms 174 is made up of swing arm 180 and slide (slide) 182 usually.One end of swing arm 180 is moved in the passage 183 of slide 182, and the plain bearing 186 that driven by swing arm driving axle (swingarmdriveshaft) 188 of the other end of swing arm 180 (opposite end) and connecting rod and be pivoted on fixed leg 184.
In certain embodiments, mobile transverse chamber 112 comprises two or multiple long and narrow entrance.Referring again to Fig. 2, first long and narrow entrance 154 one end at mobile transverse chamber, and the second long and narrow entrance 155 other ends at mobile transverse chamber (opposite end).In further embodiments, long and narrow entrance is used to other end substrate being transported to mobile transverse chamber 112 from one end of mobile transverse chamber 112.For example, technical module 150,152 can be placed on two different straight lines respectively, track then can position between these two straight lines.The technical module of mobile transverse chamber 112 by the first long and narrow entrance from position on the straight line of track side loads and unloads substrate, and the technical module by the second long and narrow entrance from position on the straight line of track opposite side loads and unloads substrate.
With regard to advantage, transport under substrate and optionally with process reaction chamber and/or under being connected with other platform in such a system, mobile transverse chamber 112 can be used to maintenance one specific gas condition.In certain embodiments, mobile transverse chamber 112 comprises joint unit (dockingassembly) 190, as shown in Fig. 8 and Figure 13.In certain embodiments, engage unit 190 to be located on mobile transverse chamber 112.In other embodiments, engage unit 190 can be located in technical module and unloading chamber.
When substrate is sent to technical module 150,152 or other treating stations by mobile transverse chamber 112, engage the integraty that unit 190 can assist to maintain mobile transverse chamber 112 environment substantially.Engage unit 190 more by the air-flow setting up a malleation or be same as technical module or workstation direction to reduce the cross staining (crosscontamination) of mobile transverse chamber.So, when process reaction chamber or other platform engage, gas or air can not flow to mobile transverse chamber.In an embodiment described, the air pressure range maintaining the gas of transverse shifting indoor, approximately between 500mTorr to 1000mTorr, is when more normal in the scope of 50mTorr to 100mTorr.In certain embodiments, mobile transverse chamber maintains a gas condition draught head of mobile transverse chamber and technical module (Δ P) can be made to drop in the scope of 10 ~ 500mTorr.
Please refer to Figure 13 and 14, engage unit 190 by be used for the film (expandablemembrane) of the extending expansion maintaining gas condition or retractable sack (bellows) 194 and a vacuum flange arrangement (vacuumflange) 192 under a technical module or other platform and to form being connected to.Normally, film 194 is deformable, and vacuum flange arrangement 192 can comprise a flange, an O shape ring (O-ring) and an edge packing seal (lipseal), and it is configured on a flat sealing surface of process reaction chamber or unloading chamber by air cylinder clamp (pneumaticallyactuatedcylinderclamp) 195.
One vacuum (vacuumsource) being attached to the fixation side of buffering intermediary's bleeding point (buffermediapumpingport) 198 is connected to expansible or can the film 194 of deformation.The present embodiment also provides buffering intermediary aie escape valve (buffermediaventvalve) 199.In one example, a stationary pump (stationarypump) 200 is arranged near unloading chamber, and is connected to buffering intermediary bleeding point 198 at each process reaction chamber center line.When mobile transverse chamber engages at a technical module, an air bag (airpocket) or air chamber (airgap) can be formed between the retractable sack 194 and technical module of extending expansion.Stationary pump 200 is connected to buffering intermediary bleeding point 198, and it is opening process reaction chamber and first carry out bleeding to make this air chamber become vacuum state before transmitting substrate from mobile transverse chamber.This measure produces the positive airflow being same as process reaction chamber direction, and mobile transverse chamber 112 and any reacting gas or other pollutants in process reaction chamber is isolated.
When mobile transverse chamber 112 is connected with respective technical module 150,152 or unloading chamber 120, at least one technical module arranges optionally through what comprise a stationary pump 200 the air got rid of between mobile transverse chamber 112 and technical module 150,152 or unloading chamber 120 in air chamber.
In one embodiment, a kind of portable air extracting pump (mobileevacuationpump) 196 and a kind of stationary pump 200 are provided, wherein portable air extracting pump 196 supported by mobile transverse chamber 112, and stationary pump 200 supported by technical module or unloading chamber.In the present embodiment, when engaging, portable air extracting pump 196 can be used to the emptying air chamber be formed between mobile transverse chamber and process reaction chamber.Once air chamber is drained, process reaction chamber just can be opened, and then stationary pump 200 just can both exhaust process catalyst chamber and mobile transverse chamber.Because stationary pump 200 can be Large Copacity with enough emptying one relatively large cavity and air extracting pump 196 can have less capacity to be used for only emptying air chamber, be so easily supported in a mobile unit, thus great elasticity and advantage are provided.During transmission substrate, stationary pump 200 is optionally used to emptying air chamber and further emptying mobile transverse chamber and process reaction chamber or unloading chamber.
In another embodiment, one large pump can be used to be connected with a series of vacuum line (aseriesofvacuumline), wherein these vacuum lines are connected to each buffering intermediary bleeding point 198, and be positioned at the pneumatic valve (airoperatedvalve or pneumaticvalve) of buffering intermediary bleeding point 198 isolate.In the present embodiment, vacuum line can be a vacuum storage tank (vacuumreservoir) that can accelerate to get rid of air chamber.
In order to contribute to the carrying out engaged, multiple horizontal alignment mechanism and/or guide rail mechanism can be utilized.For example, as shown in Figure 13 to Figure 14, framework and/or mobile transverse chamber 112 can comprise horizontal alignment mechanism 210.Native system can utilize the horizontal alignment mechanism be applicable to arbitrarily, such as adjusting lever (adjustmentrod), compression tie-rod (compressiontierod), alignment bolt ball (levelinghitchball) etc., but the present invention is not limited thereto.One equilibrium orbit 212 also can comprise in the frame to increase stability.Safe guide roller 214 can be contained in track 114 further and/or be arranged at the bottom of mobile transverse chamber 112.Cable carries track puts electric wire and air line, and can by a flexible conveyor belt or as connecting rod track form.
The method of processing substrate and joint
With regard to advantage, processing substrate provided by the present invention has elasticity.Figure 15 a kind ofly transmits the method for substrate to two or more technical modules for what illustrate according to some embodiments.In step 1010, one or more mobile transverse chamber is provided.Mobile transverse chamber is carried on a track, and along rail moving.The position of track is adjacent to two or multiple technical module, and mobile transverse chamber like this is able to the technical module corresponding with and connects or engage.
During transport substrate, the setting of each mobile transverse chamber can maintain a specific gas condition independently.In certain embodiments, gas condition is the air pressure of transverse shifting indoor.In another embodiment, gas condition is the kenel of the gaseous environment of transverse shifting indoor, for example, it can comprise air or helium (Helium, He), neon (Neon, Ne), argon gas (Argon, Ar), Krypton (Krypton, Kr), the blunt gas such as xenon (Xenon, Xe).In another embodiment, gas condition can also be made up of the gas easily reacted, as silane (silane, SiH 4) gas, oxygen (oxygen, O 2), dichlorosilane (dichlorosilane, SiCl 2h 2) gas, nitrous oxide (nitrousoxide, N 2o) gas, tetraethoxysilane (tetraethylorthosilicate, TEOS, Si (OC 2h 5) 4) gas, phosphine (phosphine, PH 3) gas, arsenic hydride (arsine, AsH 3) gas, diborane (diborane, B 2h 6) gas etc. and composition thereof.
The scope of transverse shifting room pressure can be situated between vacuum and bar pressure.In an illustrative examples, the gas of transverse shifting indoor can maintain in the scope of 500 ~ 1000mTorr, is when more normal in the scope of 50 ~ 100mTorr.In certain embodiments, mobile transverse chamber maintains a gas condition and drops in the scope of 10 ~ 500mTorr to make the draught head of mobile transverse chamber and technical module (Δ P).And when providing two mobile transverse chambers, it can maintain controlled environment single-handedly, wherein the first mobile transverse chamber can transport substrate under a gas condition (such as vacuum), and the second mobile transverse chamber can transport substrate under the second gas condition (such as argon gas).
In step 1020, substrate is loaded at least in one of them of one or more mobile transverse chamber.In certain embodiments, substrate is loaded at least one mobile transverse chamber to reach by operation transmission Handling device unit 170.In further embodiments, before operation transmission Handling device unit 170, a flange is used to mobile transverse chamber is connected to unloading chamber 120 or technical module 150,152.In other embodiment, an air extracting pump is used to the air bag between emptying mobile transverse chamber and unloading chamber or technical module.
In step 1030, one or more drive system can be started with along track to promote at least one of one of one or more transverse shifting indoor.Drive system can comprise a linear motor, a rack and pinion system, or a pulley and conveyer system.Drive system is operated in order to along track to move mobile transverse chamber and near technical module mobile transverse chamber being placed in a unloading chamber or a correspondence.In certain embodiments, drive system comprises a position transduser or activating sensor to judge the position of mobile transverse chamber.In certain embodiments, drive system comprises a feedback control mechanism to promote movement and the location of mobile transverse chamber.
In step 1040, by least one substrate from least one mobile transverse chamber be transported to two or multiple technical module at least one of them.In certain embodiments, transmit Handling device unit 170 by operation, and substrate is transported to technical module from mobile transverse chamber.Be similar to the load module in step 1020, a flange can be used to mobile transverse chamber is connected to technical module 150,152.In certain embodiments, an air extracting pump (evacuationpump) is used to the air bag between emptying mobile transverse chamber and unloading chamber or technical module.
The minimized board transport method of heat leakage
From another viewpoint, provide a kind of method reducing the transmission substrate of thermal loss, as Figure 16 a the diagram of circuit that illustrates.In certain embodiments, a scheduler (scheduler) 1200 is utilized to show to transmit the operating process control law of one or more substrate.
In certain embodiments, scheduler 1200 is as a state machine (statemachine).In this example, the radical function of scheduler 1200 is the various components in coherent system 100, can provide comprehensive substrate operating process so in process.
Scheduler 1200 is commonly used to the performance of the output of increase system 100.But, in the present invention, scheduler 1200 benefits and promotes process consistency (processconsistency), and this means when being made substrate transmit between technical module by mobile transverse chamber, and the waste of heat that substrate maintains in fact constant temperature or substrate reduces.Scheduler 1200 utilizes forward sight work schedule method (forwardlookingschedulingmethod) to be placed in transverse shifting indoor to reduce arbitrary substrate of a large amount of time usually.
In one embodiment, scheduler 1200 is according to following forward sight rule (forwardlookingrule) arrangement substrate transmission action:
A () completes handler in technological reaction indoor whenever a substrate, scheduler after coordinating or set up the transfer path of this substrate in system 100, just can start the program being sent out this substrate by this process reaction chamber.This means does not have substrate can be stuck in transverse shifting indoor to wait for next available (availability) processing step or transfer station (transferstation) by vacant land, and wherein transfer station is as unloading chamber, technical module or other process platform any.So, unless and until scheduler can not start to carry out the transmission of a substrate or transmission action one open approach (openpath) can be transported to its next processing step to make substrate or transmit point (transferpoint); And
B (), about the priority of transmission base plate, has the highest priority with the substrate that the longest process time stagnates in technical module.
In one example, above-mentioned rule may be implemented in the diagram of circuit that Figure 16 a illustrates, and it illustrates an embodiment of the transmission path coordination logic (transportpathreconciliationlogic) of the substrate processed.In step 1210, after method starts from the particular substrate S1 that process one is placed in process reaction chamber P1.In step 1220, the workflow states (jobflowstatus) about substrate S1 is checked.Particularly, next target location or the chamber of substrate S1 can be confirmed.In step 1230, check whether the next target location of substrate S1 or chamber D1 are available (available), wherein can with represent and be not occupied.If not, then substrate S1 maintains process reaction chamber P1, as step 1240.If so, whether position is at target location or chamber D1, as step 1250 at present then to check another substrate S2.If not, then scheduler starts substrate S1 to transfer to target location or chamber D1, as step 1260 from process reaction chamber P1.If so, then scheduler inspection about substrate S2 workflow states and confirm its target location or chamber D2, as step 1220.When a specific implementation method describes in this, other particular implementation method that well known technique person should understand forward sight scheduler rule (forwardlookingschedulerrule) is in the possibility of scope of the present invention and instruction.
For example, in an illustrative examples, a kind of method transmitting one or more substrate between technical module or loading-unload station describes as following.Confirm the target position D 1 that a substrate S1 begins when processing position P1 together.If substrate S2 occupies target position D 1, then substrate S1 maintains initiated process position P1; If target position D 1 is spendable (not namely being occupied), then transmit substrate S1 to target position D 1.In addition, if substrate S2 occupies target position D 1, then method also comprises the step of the target position D 2 confirming substrate S2.In certain embodiments, method also comprises and which in substrate S1 or substrate S2 to have the longest process time according to, which determining in substrate S1 or substrate S2 to be first sent to target position D 1 or the target position D 2 of its correspondence with.
Figure 16 b is the diagram of block of the computer system 1300 in order to control system and manner of execution according to some embodiments of the invention.System 1300 generally include one or more processing unit (CPU ' s) 1302, one or more network or other communication interface 1304, memory device 1310 can be selected arbitrarily and one or more is in order to be connected to each other the communication bus 1308 of these elements.Communication bus 1308 can comprise circuit (circuitry claims chipset chipset sometimes), and it interconnects and controls communication between system element.System 1300 can be selected arbitrarily to comprise a User's Interface (userinterface), such as read out instrument 1306 and an input media 1305.Memory device 1310 can comprise high-speed random access memory (highspeedrandomaccessmemory); It also can comprise non-volatility memorizer, such as one or more disc storage device (magneticdiskstoragedevice).Memory device 1310 can comprise mass storage (massstorage), and it long distance can be arranged at central processing unit 1302.
Non-volatile memory in memory device 1310 or memory device 1310 comprises a computer-readable storage media (computerreadablestoragemedium).In certain embodiments, memory device 1310 stores following program (program), module and data structure (datastructure) or its subclass (subset):
One operating system (operatingsystem) 1311 comprises the various system service of process and performs the program of hardware dependent tasks;
Use an optional network communication module (optionalnetworkcommunicationmodule) 1312, its by one or more wired or wireless communication network interface (communicationnetworkinterfaces) 1304 and one or more communication network (communicationnetwork) so that system 1300 is connected to other computing machine, wherein communication network is such as world-wide web (Internet), other wide area network (wideareanetwork), local area network (localareanetworks), capital local area network (metropolitanareanetwork) etc.,
Transmission operational module 1320, wherein operational module 1320 controls or supervisory instruction is transmitted between loading-unload station, technical module etc. to make substrate, and it by mobile transverse chamber, and loads and unloads substrate from mobile transverse chamber, loading-unload station and technical module;
Process reaction chamber operational module 1330 control or supervisory instruction with the processing step of control treatment substrate and method to form p-i-n junction etc., to form photovoltaic cell further; And
Schedule module 1340 control or supervisory instruction with the stratum of the flow process of substrate in control system and path, as Figure 16 a the diagram of circuit that illustrates.
In the memory storage that each recognition component above-mentioned is mentioned before can being stored in one or more, and correspond to one group of above-mentioned instruction in order to n-back test.Above-mentioned identification module or program (such as instruction group) without the need to performing with independently software program (separatesoftwareprogram), program or module, the different subclass (subset) so in various embodiments in these modules just can in conjunction with or reconfigure again in a variety of ways.In certain embodiments, memory device 1310 can the subclass of storage module and above-mentioned data structure.In addition, memory device 1310 data structure that can store extra module and not state before.
Although Figure 16 b illustrates one " system ", compared to the structural representation of embodiment that this describes, Figure 16 b means manyly in one group of treater, institute to provide the describing functionally of the various different characteristics of (as in client or in the server).In practice, and well known technique person should be understood that the project be separated in figure can combine and some projects are also separable.For example, the project that in Figure 16 b, some are separated can in the upper enforcement of single server (server), and unitem then can be implemented on one or more server.But, can change along with the difference of implementation method for the actual number of the resource of actuating system and feature therebetween.
The method of the present embodiment can be controlled by the instruction being stored in a computer-readable storage media, and is performed by one or more treater in one or more server.Each control step is illustrated in Figure 16 a and Figure 16 b, and it may correspond to the instruction to being stored in a computer storage or computer-readable storage media.Computer-readable storage media can comprise disc storage device or disk storage device (opticaldiskstoragedevice), solid state storage device (such as flash memory) or other non-volatile memory or other device.The computer-readable fetch instruction be stored on computer-readable storage media is source code (sourcecode), assembly language code (assemblylanguagecode), object code (objectcode) or other command format (instructionformat), and it is compiled (interpret) by one or more treater.
Process reaction chamber integrates facility
With regard to another viewpoint of the present invention, provide a kind of and there is the process module facility 300 integrating facility, as shown in figure 17.In one embodiment, process module facility 300 generally includes the process reaction chamber 302 be arranged in framework, base 306 and process reaction chamber pump.Base 306 puts gas control path and other pipeline (not illustrating).Process reaction chamber pump 308 is preferably configured near process reaction chamber 302, and is connected with process reaction chamber 302 by the gas control path in base 306.Extraly but not necessarily, electrical controller 310 can be placed near process reaction chamber, and is connected with process reaction chamber by the electric wire (not illustrating) be placed in base 306.Because integration facility (integratedfacility) 300 of the present invention has elasticity for modularization (modular), and can be easy to be combined in existing wafer factory (fab), it is generally placed on concrete slab, so just has the advantage of application Contemporary semiconductor factory.
Although the present invention describes as above with embodiment; so itself and be not used to limit the present invention; have in any art and usually know the knowledgeable; without departing from the spirit and scope of the present invention; when doing a little change and retouching, therefore protection scope of the present invention is when being as the criterion of defining depending on right.

Claims (40)

1. one kind is used for the system for the treatment of substrate, it comprises: one or more mobile transverse chamber, it can move between two or multiple technical module, and one or more substrate is transported at least one this two or multiple technical module, wherein when each this mobile transverse chamber between described technical module mobile maybe this one or more substrate is transported to described technical module time, each this mobile transverse chamber can maintain independently of one another has a specific gas condition;
Wherein this gas condition comprises gaseous species in this mobile transverse chamber or gaseous tension;
Wherein the gas of these transverse shifting indoor maintains a range of pressure of 50 millitorr to 1000 millitorrs;
Described mobile transverse chamber comprises joint unit, this joint unit comprises film or the retractable sack of extending expansion, with a vacuum flange arrangement, this vacuum flange arrangement comprises a flange, an O shape ring and an edge packing seal, and is configured on a flat sealing surface of technical module by air cylinder clamp.
2. be used for the system for the treatment of substrate as claimed in claim 1, wherein said mobile transverse chamber can hold the substrate of one or more horizontal stack simultaneously.
3. be used for the system for the treatment of substrate as claimed in claim 1, wherein said mobile transverse chamber can hold the substrate of one or more vertical stack simultaneously.
4. be used for the system for the treatment of substrate as claimed in claim 1, separately comprise one of them kind that a stationary pump is located at described technical module or a unloading chamber, when this mobile transverse chamber is connected to indivedual this corresponding technical module, this stationary pump can carry out a pumping action to this mobile transverse chamber.
5. be used for the system for the treatment of substrate as claimed in claim 4, wherein when this mobile transverse chamber is connected to indivedual this corresponding technical module, this stationary pump can be bled to the air bag produced between this technical module and this mobile transverse chamber.
6. be used for the system for the treatment of substrate as claimed in claim 1, it separately comprises a portable air extracting pump and is arranged at this mobile transverse chamber, when this mobile transverse chamber is connected to indivedual this corresponding technical module, this portable air extracting pump can be bled to the air bag produced between indivedual these corresponding technical modules and this mobile transverse chamber.
7. be used for the system for the treatment of substrate as claimed in claim 1, wherein respectively this mobile transverse chamber independently maintains and has this specific gas condition.
8. be used for the system for the treatment of substrate as claimed in claim 7, wherein this mobile transverse chamber separately comprises a heating source.
9. be used for the system for the treatment of substrate, it comprises:
Two or multiple technical module, respectively this technical module comprises a process reaction chamber with treatment substrate;
One substrate transfer apparatus;
One unloading chamber, is used for accepting the substrate that transmitted by this substrate transfer apparatus; And
One horizontal substrate board treatment, be used for accepting the substrate that transmitted by this unloading chamber and substrate sent at least one this two or multiple technical module, this horizontal substrate board treatment comprises:
One or more mobile transverse chamber, can this two or multiple technical module between move, and one or more substrate transported at least one this two or multiple technical module, wherein when this mobile transverse chamber moves between described technical module or when transporting one or more substrate, respectively this mobile transverse chamber can maintain independently of one another and have a specific gas condition;
Wherein this gas condition comprises gaseous species in this mobile transverse chamber or gaseous tension;
Wherein the gas of these transverse shifting indoor maintains a range of pressure of 50 millitorr to 1000 millitorrs;
Described mobile transverse chamber comprises joint unit, this joint unit comprises film or the retractable sack of extending expansion, with a vacuum flange arrangement, this vacuum flange arrangement comprises a flange, an O shape ring and an edge packing seal, and is configured on a flat sealing surface of process reaction chamber or unloading chamber by air cylinder clamp.
10. be used for the system for the treatment of substrate as claimed in claim 9, wherein this horizontal substrate board treatment separately comprises:
At least one track, is used for supporting this one or more mobile transverse chamber, this track be arranged at this two or the adjacent place of entrance of multiple technical module; And
One or more drive system, is used for promoting this one or more mobile transverse chamber and moves on that track.
11. systems being used for treatment substrate as claimed in claim 9, wherein respectively this mobile transverse chamber independently maintains and has this specific gas condition.
12. systems being used for treatment substrate as claimed in claim 11, wherein this gas condition comprises gas type in this mobile transverse chamber or gaseous tension.
13. systems being used for treatment substrate as claimed in claim 9, wherein this mobile transverse chamber comprises a trailing device, can be used to one or more substrate of these transverse shifting indoor mobile.
14. systems being used for treatment substrate as claimed in claim 9, wherein this mobile transverse chamber comprises two or multiple long and narrow entrance, wherein one first long and narrow entrance is located at the side of this mobile transverse chamber, and one second long and narrow entrance is located at the contrary side of this mobile transverse chamber.
15. systems being used for treatment substrate as claimed in claim 9, wherein described substrate transmits by this horizontal substrate board treatment in pairs.
16. systems being used for treatment substrate as claimed in claim 9, wherein this horizontal substrate board treatment vertically transmits single substrate or simultaneously vertically transmits two substrates.
17. systems being used for treatment substrate as claimed in claim 11, wherein this horizontal substrate board treatment is used for the separable case of transmission one, and this separable case is used for being assembled into right substrate.
18. systems being used for treatment substrate as claimed in claim 9, its separately comprise that at least one stationary pump is located at described technical module or this unloading chamber one of them plant.
19. systems being used for treatment substrate as claimed in claim 18, wherein when this mobile transverse chamber is connected to other this technical module, this stationary pump can carry out a pumping action to this mobile transverse chamber.
20. systems being used for treatment substrate as claimed in claim 18, wherein when this mobile transverse chamber is connected to other this technical module, this stationary pump can be bled to the air bag produced between this technical module and this mobile transverse chamber.
21. systems being used for treatment substrate as claimed in claim 20, it separately comprises a plurality of gas control paths, be connected, and described gas control path is completely cut off by multiple pneumatic valve with respectively this air bag and this stationary pump.
22. systems being used for treatment substrate as claimed in claim 9, it separately comprises a portable air extracting pump and is arranged at this mobile transverse chamber, when this mobile transverse chamber is connected to other this technical module individual, this portable air extracting pump can be bled to the air bag produced between this technical module and this mobile transverse chamber.
23. systems being used for treatment substrate as claimed in claim 9, wherein this mobile transverse chamber separately comprises a heating source.
24. systems being used for treatment substrate as claimed in claim 9, separately comprise a temperature booster and one or more cooling frame, wherein this temperature booster and this one or more cooling frame are connected to this horizontal substrate board treatment.
25. systems being used for treatment substrate as claimed in claim 9, wherein this two or multiple technical module comprise and appoint one or more following module or catalyst chamber: CVD chamber, plasma gain CVD chamber, atomic layer deposition chamber, etching chamber, physical vapor deposition chamber, annealing furnace, rapid thermal anneler, aumospheric pressure cvd room, steam application chamber.
26. systems being used for treatment substrate as claimed in claim 9, wherein this two or multiple technical module comprise two or multiple plasma gain chemical vapor deposition module, respectively this plasma enhanced chemical vapor deposition module is used in the surface deposition P-type silicon layer of one or more substrate, intrinsic silicon layer or N-type silicon layer.
27. systems being used for treatment substrate as claimed in claim 26, are wherein used for the quantity of described technical module of deposition intrinsic silicon layer or N-type silicon layer compared with more than being used for the quantity of the described technical module depositing P-type silicon layer.
28. systems being used for treatment substrate as claimed in claim 9, wherein the gas of these transverse shifting indoor maintains a range of pressure of 50 millitorr to 1000 millitorrs.
29. systems being used for treatment substrate as claimed in claim 9, wherein this mobile transverse chamber maintains and has a gas condition, makes the differential pressure range of this mobile transverse chamber and described technical module be 10 to 500 millitorrs.
30. systems being used for treatment substrate as claimed in claim 9, wherein this mobile transverse chamber separately comprises at least one transmission Handling device unit, and it can support at least one substrate when an advanced position and an extended position.
31. systems being used for treatment substrate as claimed in claim 30; wherein this transmission Handling device unit separately comprises a substrate placing stage; its have multiple be used for supporting substrate bifurcated element and supporting track be located at the outermost opposite side of described bifurcated element, to be used for the edge of protective substrate.
32. systems being used for treatment substrate as claimed in claim 30, wherein this transmission Handling device unit separately comprises an oscillating arm mechanisms, is used for this substrate placing stage is moved between this advanced position and this extended position.
33. systems being used for treatment substrate as claimed in claim 32, wherein this oscillating arm mechanisms separately comprises a swing arm and a slide, have a passage in it, and one end of this swing arm can move linearly in this passage, the other end of this swing arm is then articulated in a fixed leg.
34. 1 kinds of transmission systems being used for substrate to be sent to two or multiple technical module, it comprises:
One or more mobile transverse chamber, be used for one or more substrate to transport at least one this two or multiple technical module, wherein when transporting this one or more substrate, respectively this mobile transverse chamber can maintain independently of one another and have a specific gas condition;
One track, is used for supporting this one or more mobile transverse chamber, wherein this track be arranged at this two or the adjacent place of entrance of multiple technical module; And
One or more drive system, is used for promoting this one or more mobile transverse chamber and moves on that track;
Wherein this gas condition comprises gaseous species in this mobile transverse chamber or gaseous tension;
Wherein the gas of these transverse shifting indoor maintains a range of pressure of 50 millitorr to 1000 millitorrs;
Described mobile transverse chamber comprises joint unit, this joint unit comprises film or the retractable sack of extending expansion, with a vacuum flange arrangement, this vacuum flange arrangement comprises a flange, an O shape ring and an edge packing seal, and is configured on a flat sealing surface of technical module by air cylinder clamp.
35. 1 kinds of mobile transverse chambers, it comprises:
At least one transmission Handling device unit, it can support at least one substrate when an advanced position and an extended position; And
One air extracting pump is located on a framework of this mobile transverse chamber, and the air chamber formed when this air extracting pump can be engaged in a technical module to this mobile transverse chamber is bled
Engage unit, this joint unit comprises film or the retractable sack of extending expansion, with a vacuum flange arrangement, this vacuum flange arrangement comprises a flange, an O shape ring and an edge packing seal, and is configured on a flat sealing surface of technical module by air cylinder clamp.
36. mobile transverse chambers as claimed in claim 35; wherein this transmission Handling device unit separately comprises a substrate placing stage; its have multiple be used for this substrate of support one bifurcated element and supporting track be located at the outermost opposite side of described bifurcated element, to be used for protecting the edge of this substrate.
37. mobile transverse chambers as claimed in claim 35, wherein this transmission Handling device unit separately comprises an oscillating arm mechanisms, is used for a substrate placing stage is moved between this advanced position and this extended position.
38. mobile transverse chambers as claimed in claim 37, wherein this oscillating arm mechanisms separately comprises a swing arm and a slide, has a passage in it, and one end of this swing arm can move linearly in this passage, and the other end of this swing arm is then articulated in a fixed leg.
39. mobile transverse chambers as claimed in claim 35, it is arranged on a supporting track, and wherein this supporting track separately comprises one or more stop device, to be used for this substrate concentrated.
40. 1 kinds of methods substrate being sent to two or multiple technical module, it comprises:
There is provided one or more mobile transverse chamber, described mobile transverse chamber is located on a track, and this track with this two or multiple technical module be disposed adjacent, transport substrate time, respectively this mobile transverse chamber can maintain independently of one another and have a specific gas condition;
At least one substrate is loaded into one of them kind of this one or more mobile transverse chamber;
Start one or more drive system to promote one of them kind of this one or more mobile transverse chamber along this rail moving; And
By this substrate at least one by this one or more mobile transverse chamber one of them plant be transported to this two or multiple technical module one of them plant;
Wherein this gas condition comprises gaseous species in this mobile transverse chamber or gaseous tension;
Wherein the gas of these transverse shifting indoor maintains a range of pressure of 50 millitorr to 1000 millitorrs, and this mobile transverse chamber comprises joint unit, this joint unit comprises film or the retractable sack of extending expansion, with a vacuum flange arrangement, this vacuum flange arrangement comprises a flange, an O shape ring and an edge packing seal, and is configured on a flat sealing surface of technical module by air cylinder clamp.
CN200910150907.5A 2008-12-31 2009-06-25 The disposal system of substrate, transmission system and transmission method and mobile transverse chamber Active CN101767719B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/319,225 US20100162955A1 (en) 2008-12-31 2008-12-31 Systems and methods for substrate processing
US12/319,225 2009-01-03

Publications (2)

Publication Number Publication Date
CN101767719A CN101767719A (en) 2010-07-07
CN101767719B true CN101767719B (en) 2015-11-25

Family

ID=42283375

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2009201608555U Expired - Fee Related CN201478276U (en) 2008-12-31 2009-06-25 Treatment device, transport device and mobile transverse chamber for substrates
CN200910150907.5A Active CN101767719B (en) 2008-12-31 2009-06-25 The disposal system of substrate, transmission system and transmission method and mobile transverse chamber

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2009201608555U Expired - Fee Related CN201478276U (en) 2008-12-31 2009-06-25 Treatment device, transport device and mobile transverse chamber for substrates

Country Status (3)

Country Link
US (1) US20100162955A1 (en)
CN (2) CN201478276U (en)
TW (1) TWI490971B (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10808319B1 (en) 2010-02-26 2020-10-20 Quantum Innovations, Inc. System and method for vapor deposition of substrates with circular substrate frame that rotates in a planetary motion and curved lens support arms
US10550474B1 (en) 2010-02-26 2020-02-04 Quantum Innovations, Inc. Vapor deposition system
US20120058630A1 (en) * 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
MX2014011333A (en) * 2012-03-20 2015-05-12 Quantum Innovations Inc Vapor deposition system and method.
DE102013105896A1 (en) * 2013-06-07 2014-12-11 Aixtron Se Manufacturing facility with a magnetic rail transport system
CN104251250B (en) * 2013-06-25 2016-03-02 英属开曼群岛商精曜有限公司 Clustered vacuum engagement system
US10103046B2 (en) 2015-04-20 2018-10-16 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
JP6503280B2 (en) * 2015-11-12 2019-04-17 株式会社Screenホールディングス Substrate processing equipment
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
CN106486567A (en) * 2016-11-14 2017-03-08 苏州阿特斯阳光电力科技有限公司 A kind of antireflective coating of crystal silicon solar energy battery and preparation method thereof
CN108666231B (en) * 2017-03-28 2022-04-26 雷仲礼 Substrate processing system, substrate transfer apparatus and transfer method
KR20190002415A (en) * 2017-05-16 2019-01-08 어플라이드 머티어리얼스, 인코포레이티드 Apparatus for processing a substrate, a processing system for processing a substrate, and a method for servicing an apparatus for processing a substrate
WO2019140989A1 (en) * 2018-01-17 2019-07-25 北京北方华创微电子装备有限公司 Semiconductor equipment
KR102568797B1 (en) * 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP7307323B2 (en) * 2019-05-28 2023-07-12 澁谷工業株式会社 bonding equipment
CN111636058B (en) * 2020-05-22 2022-06-28 湖南红太阳光电科技有限公司 Tubular PECVD preheats system experimental apparatus
CN113913792B (en) * 2021-10-09 2024-03-15 上海骐碳复合材料科技有限公司 Transverse continuous progressive vapor deposition furnace and working method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5364219A (en) * 1991-06-24 1994-11-15 Tdk Corporation Apparatus for clean transfer of objects
CN1277138A (en) * 1999-05-19 2000-12-20 西门子公司 Equipment for producing semi-conductor products
CN1533601A (en) * 2001-04-19 2004-09-29 ��ʽ���������Ƽ� Method of producing semiconductor integrated circuit device
CN1802736A (en) * 2003-07-07 2006-07-12 日商乐华股份有限公司 Thin sheet-like article displacement detection method and displacement correction method

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5024570A (en) * 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US6333101B1 (en) * 1992-02-28 2001-12-25 Nisshinbo Industries, Inc. Method of adhering adherends
EP0582019B1 (en) * 1992-08-04 1995-10-18 International Business Machines Corporation Fully automated and computerized conveyor based manufacturing line architectures adapted to pressurized sealable transportable containers
JPH0669295A (en) * 1992-08-17 1994-03-11 Tokyo Electron Ltd Probe system
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
JP3654597B2 (en) * 1993-07-15 2005-06-02 株式会社ルネサステクノロジ Manufacturing system and manufacturing method
JP3796782B2 (en) * 1995-11-13 2006-07-12 アシスト シンコー株式会社 Mechanical interface device
US5892200A (en) * 1996-09-19 1999-04-06 The Boc Group, Inc. Transfer port system
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
DE19734509C2 (en) * 1997-08-08 2002-11-07 Infineon Technologies Ag Power transistor cell
JPH11135600A (en) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp Robot apparatus and treating apparatus
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
KR100265287B1 (en) * 1998-04-21 2000-10-02 윤종용 Multi-chamber system for etching equipment for manufacturing semiconductor device
US6187103B1 (en) * 1998-08-27 2001-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for transporting wafers
US6411859B1 (en) * 1998-08-28 2002-06-25 Advanced Micro Devices, Inc. Flow control in a semiconductor fabrication facility
US20010014268A1 (en) * 1998-10-28 2001-08-16 Charles S. Bryson Multi-axis transfer arm with an extensible tracked carriage
US6662076B1 (en) * 1999-02-10 2003-12-09 Advanced Micro Devices, Inc. Management of move requests from a factory system to an automated material handling system
JP2000286319A (en) * 1999-03-31 2000-10-13 Canon Inc Substrate transferring method and semiconductor manufacturing apparatus
WO2001054187A1 (en) * 2000-01-17 2001-07-26 Ebara Corporation Wafer transfer control apparatus and method for transferring wafer
US6548557B1 (en) * 2000-02-24 2003-04-15 Walter Merton Co., Inc. Neutralization of phosphate esters, compositions based upon and methods using same
US6519498B1 (en) * 2000-03-10 2003-02-11 Applied Materials, Inc. Method and apparatus for managing scheduling in a multiple cluster tool
KR100350719B1 (en) * 2000-11-30 2002-08-29 삼성전자 주식회사 apparatus for transferring in a semiconductor fabricating
JP4937459B2 (en) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 Cluster tool and transfer control method
TW562772B (en) * 2001-04-19 2003-11-21 Murata Machinery Ltd Automatic guided vehicle, automatic guided vehicle system and wafer carrying method
TW497236B (en) * 2001-08-27 2002-08-01 Chipmos Technologies Inc A soc packaging process
CN1996552B (en) * 2001-08-31 2012-09-05 克罗辛自动化公司 Wafer engine
US6637998B2 (en) * 2001-10-01 2003-10-28 Air Products And Chemicals, Inc. Self evacuating micro environment system
NL1020633C2 (en) * 2002-05-21 2003-11-24 Otb Group Bv Composition for treating substrates.
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
CN1711369B (en) * 2002-11-15 2011-07-13 欧瑞康日光特吕巴赫股份公司 Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
US6679672B1 (en) * 2003-03-10 2004-01-20 Syracuse University Transfer port for movement of materials between clean rooms
US7720557B2 (en) * 2003-11-06 2010-05-18 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US20050136681A1 (en) * 2003-12-23 2005-06-23 Tokyo Electron Limited Method and apparatus for removing photoresist from a substrate
US7274971B2 (en) * 2004-02-28 2007-09-25 Applied Materials, Inc. Methods and apparatus for electronic device manufacturing system monitoring and control
TWI316044B (en) * 2004-02-28 2009-10-21 Applied Materials Inc Methods and apparatus for material control system interface
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
JP4414910B2 (en) * 2005-02-17 2010-02-17 東京エレクトロン株式会社 Semiconductor manufacturing apparatus and semiconductor manufacturing method
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
TW200715448A (en) * 2005-07-25 2007-04-16 Canon Anelva Corp Vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device manufacturing system
US7771150B2 (en) * 2005-08-26 2010-08-10 Jusung Engineering Co., Ltd. Gate valve and substrate-treating apparatus including the same
US20070051314A1 (en) * 2005-09-08 2007-03-08 Jusung Engineering Co., Ltd. Movable transfer chamber and substrate-treating apparatus including the same
WO2007112454A2 (en) * 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
TWM359810U (en) * 2007-02-28 2009-06-21 Applied Materials Inc Power source device and plasma apparatus
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5364219A (en) * 1991-06-24 1994-11-15 Tdk Corporation Apparatus for clean transfer of objects
CN1277138A (en) * 1999-05-19 2000-12-20 西门子公司 Equipment for producing semi-conductor products
CN1533601A (en) * 2001-04-19 2004-09-29 ��ʽ���������Ƽ� Method of producing semiconductor integrated circuit device
CN1802736A (en) * 2003-07-07 2006-07-12 日商乐华股份有限公司 Thin sheet-like article displacement detection method and displacement correction method

Also Published As

Publication number Publication date
US20100162955A1 (en) 2010-07-01
TW201101408A (en) 2011-01-01
CN201478276U (en) 2010-05-19
TWI490971B (en) 2015-07-01
CN101767719A (en) 2010-07-07

Similar Documents

Publication Publication Date Title
CN101767719B (en) The disposal system of substrate, transmission system and transmission method and mobile transverse chamber
CN101767718B (en) Method of transferring one or more substrates between process modules or between loading/unloading stations
CN101767717B (en) Method for transferring a substrate to two or more process modules
CN101770934B (en) Process module facility
KR102502793B1 (en) Compact substrate processing tool with multi-station processing and pre-processing and/or post-processing stations
US8367565B2 (en) Methods and systems of transferring, docking and processing substrates
CN102064123B (en) Vacuum processing system and vacuum processing method of semiconductor processing substrate
CN103988290A (en) Workpiece handling system and methods of workpiece handling
US7640071B2 (en) Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
CN106992132A (en) Semiconductor prepares and researched and developed the maintenance tunnel used on the capital equipment of manufacturing facility
JP2009147266A (en) Thin-film solar cell manufacturing apparatus system and common substrate storage rack
KR20100091902A (en) Substrate processing apparatus
CN105845610A (en) Automatic conveying system for substrate
CN101630634A (en) System and method for substrate transport
WO2010078264A2 (en) Methods and systems of transferring, docking and processing substrates
CN101908469B (en) Processing apparatus
KR101393269B1 (en) Substrate transfer device, substrate transfer method and substrate processing device
CN108091722A (en) A kind of automatic loading/unloading and automatic flaps system and its method of work
JP2011122232A (en) Cvd system and cvd method
CN103503166A (en) Systems and methods for multi-chamber photovoltaic module processing
JP2011171490A (en) Manufacturing apparatus for solar cell and manufacturing method for solar cell
KR101022314B1 (en) Chemical vapor deposition apparatus for manufacturing thin-film solar cells
KR102034706B1 (en) Substrate processing system and substrate processing method
CN104094394A (en) Dynamic load lock with cellular structure for discrete substrates

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant