CN101484973A - Cluster tool for advanced front-end processing - Google Patents

Cluster tool for advanced front-end processing Download PDF

Info

Publication number
CN101484973A
CN101484973A CNA2007800254044A CN200780025404A CN101484973A CN 101484973 A CN101484973 A CN 101484973A CN A2007800254044 A CNA2007800254044 A CN A2007800254044A CN 200780025404 A CN200780025404 A CN 200780025404A CN 101484973 A CN101484973 A CN 101484973A
Authority
CN
China
Prior art keywords
chamber
substrate surface
base material
substrate
characteristic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007800254044A
Other languages
Chinese (zh)
Inventor
R·塔库尔
A·萨摩罗伏
P·汉森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101484973A publication Critical patent/CN101484973A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

Aspects of the invention generally provide an apparatus and method for processing substrates using a multi-chamber processing system that is adapted to process substrates and analyze the results of the processes performed on the substrate. In one aspect of the invention, one or more analysis steps and/or precleaning steps are utilized to reduce the effect of queue time on device yield. In one aspect of the invention, a system controller and the one or more analysis chambers are utilized to monitor and control a process chamber recipe and/or a process sequence to reduce substrate scrap due to defects in the formed device and device performance variability issues. Embodiments of the present invention also generally provide methods and a system for repeatably and reliably forming semiconductor devices used in a variety of applications.

Description

The cluster tool that is used for advanced FEOL
Technical field
Embodiments of the invention generally relate to the integrated process system that a kind of configuration is used for carrying out process (processing sequence), its comprise base material treatment module, base material prepare chamber and/or technology confirm (process verification) and analyze chamber both.
Background technology
The technology that forms semiconductor subassembly is normally at multi-cavity chamber process system, and for example one troops and finishes in the equipment (cluster tool), and it has the ability of handling base material (for example, semiconductor crystal wafer) under in check process environments.Typical controlled process environments comprises a system, this system has a body frame structure in order to hold a base material transfer robot arm (substrate transferrobot), and this mechanical arm transmits base material between the load locking room that is connected with this body frame structure and a plurality of vacuum treatment chamber.In check process environments has many benefits, comprise can make during the transmission and finish multiple substrate process step during the pollution of substrate surface reduce to minimum.Therefore carrying out technology under controlled environment can reduce the defects count that is produced and improve the assembly productive rate.
Common efficient, i.e. assembly productive rate and cost of carry (CoO) by two the relevant and important factor amount of commenting base material production technologies.These factors are important, because it directly influences the cost of making electronic building brick, thereby influence the competitiveness of module manufacturer on market.Though can be subjected to many factor affecting, CoO mainly is subjected to the productive rate of the assembly that forms during the component process program, and the base material productive rate, or briefly per hour handled base material quantity influences.Process is normally defined the assembly manufacturing step finished in one or more treatment chamber or the program of technical recipe step in cluster tool.One process contains many base materials (or wafer) production craft step usually.
Industry continues to advance with the direction of improving the assembly processing speed and reducing assembly heating situation towards dwindling the semiconductor subassembly size, causes the receptible technology variation amplitude of industry to reduce.The size that Zhao Yin dwindles day by day in semiconductor subassembly and require constantly to increase all the time for components performance is significantly reduced for assembly production technology consistency and the tolerable amount of variability of reproducibility.The one factor that influences components performance variability and reproducibility is " waiting time (queue time) ".Waiting time is normally defined and finishes on base material after first technology, and must finish second technology on this base material avoiding before some unfavorable factor influences the components performance of manufacturing, and base material is exposed to the time under environment or other pollutant.If base material be exposed to environment or other pollutant sources under around or above the permissible stand-by period, components performance may be influenced because of being polluted between this first and second layer interface.Therefore, base material is exposed to for the process in environment or other pollutant sources for comprising, must control base material be exposed under these pollutant sources time or with time minimization, change to avoid components performance.Therefore, useful electronic building brick production technology must present consistent and reproducible process results, make the influence of pollution reduce to minimum, and also meets the requirement of expection productive rate, can consider to be used in the substrate process program.
Semiconductor subassembly manufacturer spends that many times attempt reducing because improper processing base material, assembly defective or the base material usefulness instability that forms cause base material to scrap and the CoO problem that causes.Usually, handling base material, assembly defectiveness and/or components performance instability undeservedly is owing to take place to have in process deviation, system or the treatment chamber the different institutes of initial condition of the rete in pollutant or base material or the base material to cause in the process in one or more treatment chamber.Be used for guaranteeing that the known method that process results drops in the expection processing range uses one or more off-line analysis technology (off-line analysis techniques) usually.Off-line test and analytical technology need periodically or usually one or more base material are shifted out from process and process environments, deliver in the test environment then.Therefore, in fact production procedure has been interrupted in the transmission of base material with during inspecting.The result, known measurement inspection method can significantly increase additionally expend time in (the overhead time) that makes chip, in addition, owing to can cause negative impact for productive rate, this kind inspection method only is a periodic samples, and many base materials that polluted can produce defective component without handling under inspecting.If because the base material of a particular batch is reallocated away and is difficult to review its pollutant sources, can allow problem become complicated more.Therefore, the measurement and the technology inspection system that need a kind of integration, it can check selected base material significant components characteristic, comprise membrane stress, film composition, particulate, defective workmanship etc., the adjusting process condition is right after on the base material of handling thereafter thereby avoid occurring in the correction problem in operation then.Preferably, this kind inspect can before the substrate process, during or carry out afterwards, therefore can determine the preliminary treatment and the post-treatment condition of base material in real time.
Therefore, need at present a kind ofly can handle base material and make base material meet desired components performance target, and increase systematic yield, thereby reduce system, the method and apparatus of process cost of carry.
Summary of the invention
The present invention provides a kind of substrate material processing apparatus by and large, and this equipment comprises one or more sidewall, one first and supports a chamber and a substrate processing chambers, and wherein those sidewalls form a transit area, and are provided with a mechanical arm in this transit area; This first supports chamber configuration in this transit area and be suitable for measuring the characteristic (property) of this substrate surface, and this substrate processing chambers is communicated with this transit area.
Several embodiment of the present invention more provide a kind of substrate material processing apparatus, and this equipment comprises one or more sidewall, one or more substrate processing chambers, is supported chamber, a substrate processing chambers and a pre-clean chamber; Wherein this one or more sidewall forms a transit area, is provided with a mechanical arm in this transit area; This one or more substrate processing chambers can be communicated with this transit area; This supports that chamber exchanges with this mechanical arm, and this support chamber is suitable for the characteristic with a zone of measuring this base material; This substrate processing chambers is communicated with this transit area, and this pre-clean chamber is prepared the surface of a base material earlier in order in this substrate processing chambers base material is carried out before the processing step.
The embodiment of the invention more provides a kind of trooping one to form the method for semiconductor subassembly in the equipment, this method comprises utilizes an assembly to form formation one module diagnostic on the substrate surface of technology in a substrate processing chambers, place one to support in the chamber and measure the characteristic in a zone on this substrate surface one base material, more measured characteristic and the numerical value that is stored in the system controller, and based on measured characteristic and the comparative result that is stored in the numerical value in this system controller adjustment one technological parameter during assembly forms technology.
The embodiment of the invention more provides a kind of trooping one to form the method for semiconductor subassembly in the equipment, this method comprises utilizes an assembly to form formation one module diagnostic on the substrate surface of technology in a substrate processing chambers, the mechanical arm that utilization is configured in this cluster tool one transit area places a base material in this transit area, measure the characteristic of the substrate surface of position in this transit area, more measured characteristic and be stored in numerical value in the system controller, and based on measured characteristic be stored in the comparative result of the numerical value in this system controller and during assembly forms technology, adjust a technological parameter.
Description of drawings
For the feature of detail knowledge the invention described above, can read to simplify with reference to some embodiment illustrated in the accompanying drawings and put clearer and more definite description in order in last the present invention.Therefore but it should be noted that accompanying drawing only illustrates a plurality of exemplary embodiments of the present invention, should not be used for limiting the scope of the invention, because the present invention can allow other equivalent embodiment.
Fig. 1 one is used for the typical already known processes System planes figure of semiconductor technology, but its application of the invention and being benefited;
Fig. 2 contains the process system plane graph that is suitable for carrying out the treatment chamber of semiconductor technology and measures chamber, and it can use the present invention and be benefited;
Fig. 3 contains the process system plane graph that is suitable for carrying out the treatment chamber of semiconductor technology and measures chamber, and it can use the present invention and be benefited;
Fig. 4 contains treatment chamber that is suitable for carrying out semiconductor technology and the process system plane graph of measuring chamber, wherein can use the present invention and is benefited;
Fig. 5 marks that to contain one be the process of row technical recipe step and base material transfer step, and it can use the present invention and be benefited;
Fig. 6 is the cross sectional side view that is suitable for carrying out the support chamber of semiconductor technology, and it can use the present invention and be benefited;
Fig. 7 is the cross sectional side view that is suitable for carrying out the support chamber of semiconductor technology, and it can use the present invention and be benefited;
Fig. 8 is the profile that is suitable for carrying out the transfer chamber of semiconductor technology and supports chamber, and it can use the present invention and be benefited;
Fig. 9 is the profile that is suitable for carrying out the transfer chamber of semiconductor technology and supports chamber, and it can use the present invention and be benefited;
Figure 10 is the profile that is suitable for carrying out the transfer chamber of semiconductor technology and supports chamber, and it can use the present invention and be benefited;
Figure 11 is the profile that is suitable for carrying out the transfer chamber of semiconductor technology and supports chamber, and it can use the present invention and be benefited;
Figure 12 is the cross sectional side view that is suitable for carrying out the pre-clean chamber of semiconductor technology, and it can use the present invention and be benefited;
Figure 13 marks the process that contains series of process prescription step and base material transfer step, and it can use the present invention and be benefited;
Figure 14 marks the process that contains series of process prescription step and base material transfer step, and it can use the present invention and be benefited;
Figure 15 contains treatment chamber, preliminary treatment chamber that is suitable for carrying out semiconductor technology and the process system plane graph of measuring chamber, and it can use the present invention and be benefited;
Figure 16 marks the process that contains series of process prescription step and base material transfer step, and it can use the present invention and be benefited;
Figure 17 is the cross sectional side view that is suitable for carrying out the substrate processing chambers of semiconductor technology, and it can use the present invention and be benefited.
The primary clustering symbol description:
100,101 cluster tool, 102 system controllers
104 front end environment 104A brace tables
104B transit area 105 wafer cassette
The 106A-B load locking room
108A-B factory interface robot arm
110 transfer chamber 110A transmission cavity chamber caps
110B transfer chamber pedestal 110C, 351 transit areas
113,330 mechanical arm 113A blade assemblies
113B arm assembly 113C driven unit
The 114A-114F technology position 116A-B chamber of postulating
120,710,770,1120 treatment regions
201-204,1600 treatment chamber 211 are supported chamber
214A-D, 354A-B position 300,301A-C process
302,302A, 302B, 302C preparation/analytical procedure
302D UV cleaning procedure 304,306,308 processing steps
310 reprocessings/analytical procedure 331 slide assemblies
350 link modules, 360 wet-cleaning chambers
700 particulates reduce chamber 701,761 envelopes and enclose
702,752,1110 chamber body, 703,753 Pit covers
704,754,804 substrate supports, 705,755 clear areas
706,756 seals
707,757,807 substrate support surfaces
711,813,1608 source radiations, 720,820 lift assemblies
722 heating components, 735 gas delivery source
736 vacuum pumps 750 are measured chamber
800,801 support chamber combination 808 to support
811 measure assembly 812 inductors
1100 pre-clean chamber, 1101 cap assemblies
1102 substrate support member, 1111 slit valve opening
1112 fluid channel, 1113 liners
1114 slits, 1115 pumps are inhaled channel
1130,1131 electrodes, 1132 power supplys
1133 holes, 1135 heating components
1140 supporting components, 1141 fluid channel
1150 vacuum subassemblies, 1160 gas sources
1603 times quartzy parts of 1601 cover structures
Quartz chamber on the quartz window 1605 on 1604
1610 infrared lamps, 1611,1620 inlets
1613,1638 outlets, 1614 base materials
1616 substrate surfaces, 1617 pedestals
1624 times quartz chamber of 1618 state spaces
1630 quartz chamber
Embodiment
The Apparatus and method for that the present invention generally provides a kind of use multi-cavity chamber process system (for example, cluster tool) to handle base material, it is suitable for handling base material and analyzes the result who carries out technology on base material.In one aspect of the present invention, use one or more analytical procedure and/or pre-clean step to reduce the influence of waiting time to the assembly productive rate.In one aspect of the present invention, use a system controller and one or more to analyze chamber and monitor and control and treatment chamber prescription and/or process, cause base material to scrap and the components performance variation issue to reduce because of the defective that is formed on the assembly.A plurality of embodiment of the present invention also provides several can repeat and reliably be formed for the method and system of the semiconductor subassembly in the various application substantially.Below be to come exemplary illustration the present invention with reference to the Centura equipment that can be obtained from US business's Material Used FEP branch in santa clara city.
The embodiment of the invention can advantageously be used in the cluster tool configuration that can handle base material in a plurality of single substrate processing chambers and/or a plurality of batch type treatment chamber.Cluster tool is a kind of modular system (modular system), and it comprises a plurality of chambers that can carry out in order to the various processing steps that form electronic building brick.As shown in Figure 1, this cluster tool 100 comprises several and handles position 114A-114F, handle in the position at those, a plurality of treatment chamber (not shown) can be able to be provided with and be connected to a central transfer chamber 110, this central authorities' transfer chamber 110 is held one and is suitable between those treatment chamber the mechanical arm 113 that contact transmits base material.The interior zone of this transfer chamber 110 (for example, the transit area 110C of Fig. 8) remains on a vacuum state and zone line is provided usually, in this zone line, base material is sent to another chamber and/or to the load locking room that is arranged on this cluster tool front end from chamber contact.This vacuum state normally utilizes one or more vacuum pump (not shown) to reach, for example known roughing vacuum pump, air blast (Roots Blower), known turbine pump, known refrigerating water pump (cryo-pump) or its combination.Perhaps, the interior zone of this transfer chamber 110 can be an inert environments, and it maintains atmospheric pressure by continuous conveying inert gas to this interior zone or near atmospheric pressure.Fig. 1 is the plane graph that can be used for the typical cluster tool 100 of electronic building brick technology, and it can use the present invention and be benefited.Three kinds of these type of platforms are Centura, Endura and Producer system, all can obtain from the Applied Materials of California Santa Clara.The details of this type of segmented vacuum base material process system is disclosed in to be checked and approved on February 16th, 1993 to people such as Tepman and the title United States Patent (USP) the 5th for " segmented vacuum base material process system and method ", 186, in No. 718, and include in this document for reference herein with way of reference.The particular step of the production technology that the actual disposition of chamber and compound mode can be carried out according to the institute desire changes.
Fig. 2 marks an embodiment of cluster tool, and substrate processing chambers 201,202,203 and 204 is installed in respectively among position 114A, 114B, 114C and the 114D of this transfer chamber 110 therein.According to aspects of the present invention, this cluster tool 100 comprises several chambers and mechanical arm usually, and the preferable system controller 102 that is provided with, system controller 102 through sequencing with in these cluster tool 100 inner control and carry out various processes and program.Can on transfer chamber 110, add several slit valve (not shown), isolate each with selectivity and be installed in treatment chamber on the 114A-F of position, so each chamber can be found time independently to carry out vacuum technology at process period.In certain embodiments of the present invention, be not all set handling chambers of all position 114A-F, with cost or the complexity that reduces this system.
In one aspect of the invention, one or more substrate processing chambers 201-204 can be known extension (EPI) deposition chambers, can be used to during one or more step of base material treatment program, on base material, form the epitaxial loayer that contains one or more material, for example silicon (Si), SiGe (SiGe), carborundum (SiC).Can use the Applied Centure EPI chamber of obtaining from the Applied Materials of California Santa Clara to carry out EPI technology.In one aspect of the present invention, one or more substrate processing chambers 201-204 can be the rtp chamber chamber, is used for during one or more step of this base material treatment program this base material of annealing.RTP technology can use rtp chamber chamber (for example, VantageRadOx RTP, Vantage RadiancePlus RTP) and the related process hardware that holy Plutarch La La city Applied Materials buys from the California to carry out it.
In the present invention on the other hand, one or more substrate processing chambers 201-204 can be known chemical vapour deposition (CVD) chamber, and it (for example is suitable for plated metal (for example, titanium, copper, tantalum), semiconductor, silicon, SiGe, carborundum, germanium) or dielectric layer (for example, Blok TM, silicon dioxide, silicon nitride, hafnium oxide (HfO x), fire sand).The example of this type of CVD treatment chamber comprises the DXZ that can buy from California Santa Clara Applied Materials TMChamber, Ultima HDP-CVD TMChamber and PRECISION
Figure A200780025404D00151
Known physical vapour deposition (PVD) chamber.The example of this type of PVD treatment chamber comprises the Endura that can buy from California Santa Clara Applied Materials TMThe PVD treatment chamber.In the present invention on the other hand, one or more substrate processing chambers 201-204 can be branch coupling formula plasma nitrided (DPN) chamber.The example of this type of DPN treatment chamber comprises the Centura that can buy from California Santa Clara Applied Materials TMThe DPN chamber.The treatment chamber example that can be used to carry out branch coupling formula plasma nitridation process is described in files an application the 6 days April in 2004 of amortizing jointly, and publication number is the U.S. patent application case the 10/819th of No. 20040242021, US, in No. 392, by reference the document is incorporated herein for your guidance in full at this.In the present invention on the other hand, one or more substrate processing chambers 201-204 can be metal etch or dielectric etch chamber.The example of this metalloid and dielectric etch chamber comprises the Centura that can buy from California Santa Clara Applied Materials TMAdvantEdge metal etch chamber and Centura TMThe eMAX chamber.
Referring to Fig. 2 and as mentioned above, be installed in position 114A-D wherein the treatment chamber 201-204 on can carry out various technologies, for example PVD, CVD are (for example, dielectric CVD, MCVD, MOCVD, EPI), ALD, branch coupling formula plasma nitrided (DPN), rapid thermal annealing (RTP) or dry etching process, on this substrate surface, to form various module diagnostics.Various module diagnostics can include, but not limited to form interlayer dielectric layer, gate dielectric, polysilicon gate, formation interlayer hole and groove, planarisation step and deposition contact mat or interlayer hole interconnect.In one embodiment, position 114E-114F contains the chamber of postulating (service chamber) 116A-B, is fit to carry out actions such as degasification, location, cooling.In one embodiment, this treatment process is suitable for forming the high-k capacitance structure, wherein treatment chamber 201-204 can be the DPN chamber, can deposit spathic silicon the CVD chamber and/or can titanium deposition, the MCVD chamber of tungsten, tantalum, platinum or ruthenium.In another embodiment, this treatment process is suitable for forming stack, and wherein treatment chamber 201-204 can be DPN chamber, CVD chamber that can deposition of dielectric materials, CVD chamber, rtp chamber chamber and/or MCVD chamber that can deposit spathic silicon.
Referring to Fig. 2, an optional front end environment 104 (being also referred to as factor interface or FI at this) is arranged to selectivity and is communicated with a pair of load locking room 106.Being configured in factory interface robot arm 108A-B in the transit area 104B of this front end environment 104 can be linear, rotation and vertical moving, to transmit base material at those load locking rooms 106 and 105 of several wafer cassette being installed on this front end environment 104.This front end environment 104 is used for that generally base material is enclosed (enclosure) from the wafer casket (not shown) that is arranged in several wafer cassette 105 by normal pressure cleaning ambient/envelope and is sent to some desired location, for example treatment chamber.Usually utilize such as the cleaning ambient among the transit area 104B that makes air pass through air filtration technology such as high efficiency particulate air (HEPA) filter to provide this front end environment 104.Front end environment, or claim the front end factor interface, can buy by the Applied Materials of California Santa Clara.
Mechanical arm 113 is configured in this transfer chamber 110 central authorities, so that base material is sent to each treatment chamber of being located on the 114A-F of position wherein in one from load locking room 106A or 106B.This mechanical arm 113 contains a blade assembly 113A and arm assembly 113B usually, and it is to be connected with this mechanical arm driven unit 113C.The instruction that this mechanical arm 113 is suitable for transmitting according to this system controller 102 is sent to each treatment chamber with base material " W ".The mechanical arm assembly that can use the present invention and benefit from it is to be described in that file an application 30 days Augusts in 1994 of amortizing jointly and title is No. the 5th, 469,035, the United States Patent (USP) of " twin shaft magnetic couplings mechanical arm "; Filed an application on April 11st, 1994 and title is No. the 5th, 447,409, the United States Patent (USP) of " mechanical arm assembly "; And on April 14th, 2000 filed an application and title in No. the 6th, 379,095, the United States Patent (USP) of " mechanical arm that is used for semiconductor substrate ", and by reference those documents are incorporated herein for your guidance in full.
Those load locking rooms 106 (for example, load locking room 106A and 106B) provide first vacuum interface between this front end environment 104 and the transfer chamber 110.In one embodiment, provide two load locking room 106A and 106B, increase productive rate by the mode that alternately is communicated with this transfer chamber 110 and this front end environment 104.Therefore, when a load locking room 106 was communicated with this transfer chamber 110,106 of second load locking rooms were communicated with this front end environment 104.In one embodiment, this load locking room 106 is batch type load locking rooms, it can receive two or more base materials from this factor interface, and keeps somewhere those base materials when this cavity seal, empties to enough low vacuum water then and on time those base materials is sent to this transfer chamber 110.Preferably, this batch load locking room can be kept somewhere 25 to 50 base materials simultaneously.
This system controller 102 is usually designed to control and the automation in order to the promotion whole system, and comprises central technique unit (CPU) (not shown), internal memory (not shown) usually and support circuit (or I/O) (not shown).This CPU is used for controlling various systemic-functions, chamber processes and support hardware (for example detector, mechanical arm, motor, gas source hardware etc.) in industry is set, and monitors any kind computer art device of this system and chamber processes (for example chamber temp, process productive rate, chamber processes time, I/O signal etc.).This internal memory is connected with this CPU, and can be one or more internal memory that can obtain easily, for example long-range the or original position data storage device of random access memory (RAM), read-only memory (ROM), floppy disk, hard disk or any other type.Software instruction and data can be encoded and be stored in the internal memory to be commanded this CPU.Those support that circuit also is connected with this CPU, support this processor with the utilization known way.Those are supported circuit to comprise soon and get (cache), power supply unit, clock pulse circuit, input/output circuitry, subsystem and person like that.Can desire on a base material, to carry out which kind of operation by program (or computer instruction) decision that this system controller 102 reads.Preferably, the software that this program can read for this system controller 102, it comprises program code to carry out several and to monitor, to control and carry out those treatment process and the relevant operation of various chamber processes prescription step.
Support the configuration of chamber
In one embodiment, this cluster tool 100 comprises system controller 102, several substrate processing chambers 201-204 and one or more support chamber 211.Generally speaking, support that chamber can be to measure chamber, preliminary treatment chamber or reprocessing chamber.Can be for many reasons, include but not limited to, for example, support chamber and on this cluster tool 100, add in order to improve the assembly productive rate, to improve technology in the reproducibility on each base material, analysis process result and many reasons such as effect of reducing stand-by period difference between the base material.
In aspect going out as shown in Figure 2, support chamber 211 to be installed on the position 214A or 214B in this transfer chamber 110 for two.Support chamber 211 to fill up in this transfer chamber 110 with one or more and be not used the space, can support the required additional hardware quantity of chamber spare part by reducing, reduce and between those cluster tool treatment chamber and this support chamber 211, transmit required extra time of base material and reduce this cluster tool floor space (footprint), and help to reduce system cost and CoO because of being added into those.
Fig. 3 marks the another kind of configuration mode of this cluster tool 100, and wherein those support chambers 211 to be arranged in other zone of this cluster tool 100, for example be installed in position 114E and/or the position 214C or 214D that link with front end environment 104 on.It should be noted that, can expect and should support chamber 211 to be installed in one or more position 114A-114F, position 214A-D, or on accessible any other known location of one or more cluster tool automatic control unit.
Figure 4 and 5 mark the process example of carrying out in comprising the representative cluster tool configuration of supporting chamber 211.Fig. 4 marks base material " W " along with the mobile situation of the described processing step of Fig. 5 by this cluster tool 100.Each arrow that indicates A1 to A8 among Fig. 4 illustrates mobile trend or the transfer path of base material in this cluster tool 100.In this configuration, shift out base material from the wafer cassette that is arranged on the 105A of position, and be sent to load locking room 106A along transfer path A1.System controller 102 indicates this load locking room 106A to close and empty to an expection low pressure subsequently, so base material can transmit and enters in the transfer chamber 110 that is under the vacuum evacuates state.Next transmit base material along path A 2, on base material, carry out preparation/analytical procedure 302 at this.This preparation/analytical procedure 302 can comprise one or more preparation process, including but not limited to, base material is inspected/is analyzed and/or particulate removes.After finishing preparation/analytical procedure 302, then as shown in Figure 4, base material is sent to treatment chamber on the 114A of position along transfer path A3, manage herein and on base material, carry out substrate process step 304 in the chamber.After carrying out this substrate process step 304, in succession base material is sent to substrate processing chambers 202 and 203 along transfer path A4-A5, carry out its substrate process step 306 separately to 308 at this, shown in Figure 4 and 5 as.In another embodiment, substrate process step 304 is pre-clean process step (will be discussed at down).In one embodiment, in the group that substrate process step 306 and the following technology of 308 optional freedom are formed: oxide etching, metal etch, EPI, RTP, DPN, PVD, CVD (for example, CVD polysilicon, TEOS etc.) or other base material treatment step that is fit to.Transmit base material along path A 6 then, on base material, carry out relevant reprocessing/analytical procedure 310 at this.This reprocessing/analytical procedure 310 can comprise one or more preparation process, including but not limited to, base material is inspected/is analyzed and/or particulate removes step.After finishing reprocessing/analytical procedure 310, next base material is sent to load locking room 106A along transfer path A7.Then this load locking room is abolished vacuum, along transfer path A8 base material is shifted out and places in the 105A of wafer cassette position from load locking room then.
Other embodiment of process also can comprise and will support chamber 211 to place the scheme between at least one other processing step of process.In another embodiment, after this preparation/analytical procedure 302 or this reprocessing/analytical procedure 310, only there is a processing step on base material, to finish.
Particulate/pollution removes the support chamber
In one embodiment, this support chamber 211 is configured to therefore can improve the assembly productive rate and the base material scrappage of the assembly of treatment process that use is desired formation in order at the particle number or the contaminant capacity that reduce during preparation/analytical procedure 302 and/or the reprocessing/analytical procedure 310 on the substrate surface.Usually, this particulate/pollution reduces chamber, this is called for short particulate down and reduces chamber, one or more substrate surface is exposed under ultraviolet ray (UV) radiation, providing enough energy to the particulate on the substrate surface and other pollutant, and make its by for example Brownian movement, change pollutant for the bond characteristic on the surface that exposes or make effect such as pollutant evaporation leave substrate surface.During operation, reduce source radiation in the chamber from this particulate/pollution, with between about 5 to about 25 milliwatts/square centimeter (mWatts/cm 2) between power density and UV radiation or UV light are passed to substrate surface between about 120 to the wavelength between about 430 nanometers (nm).Can supply by for example containing the lamp of elements such as xenon, argon, krypton, nitrogen, chlorination xenon, KrF, argon fluoride from the radiation of this source radiation.Use the source radiation of emission ultraviolet light particularly useful for the adverse effect of removing or reduce on the substrate surface that organic contamination caused.The typical source radiation that is suitable for radiating the UV wavelength can be known UV lamp (for example, mercury vapor lamp) or other similar device.Also can use the combination that is constituted by several UV source radiations that radiate different wave length UV light.
Fig. 6 marks a kind of cross sectional side view of supporting chamber 211, and it is that particulate reduces chamber 700, in order to one or more substrate surface is exposed under ultraviolet ray (UV) radiation.This particulate reduces chamber 700 and can be installed on the interior any available position of cluster tool, for example position 114A-114F (Fig. 2) or position 214A-214E (Fig. 3).In general, particulate reduces chamber 700 and comprises envelope and enclose (enclosure) 701, source radiation 711 and substrate support 704.This envelope is enclosed 701 and is contained chamber body 702, Pit cover 703 and clear area 705 usually.On the one hand, this envelope is enclosed 701 and is contained one or more seal 706, and in order to sealing this treatment region 710, therefore envelope is enclosed 701 and can be utilized vacuum pump 736 to be evacuated to vacuum state during treatment process.On the one hand, this treatment region 710 is to utilize vacuum pump 736 and gas to transport source 735 to vacuumize and maintain about 10 -6The holder ear is to the pressure between about 700 holder ears.In one embodiment, by carrying inert gases to this treatment region 710 continuously, make this treatment region 710 remain on atmospheric pressure or near atmospheric pressure from this gas delivery source 735.This clear area 705 can or make from transparent other material of radiation that this source radiation 711 radiates by pottery, glass, so base material " W " can receive the most of energy that radiates from this source radiation 711.On the one hand, this particulate reduces chamber 700 can contain lift assemblies 720, be used for respect to substrate support 704 base material " W " being raise and reduction, so the base material on this lift assemblies 720 can be picked up and put down to the mechanical arm (not shown).
In one embodiment, this substrate support 704 is suitable for removing step heating base material at particulate, with further raising by provide during reducing technology at particulate energy to those pollutants make its from substrate surface from moving or evaporating the efficient of removing the particulate on the substrate surface.In this configuration, can utilize the heating component 722 and the external power source supply/controller (not shown) that embed in this substrate support 704 to heat this substrate support 704, thereby substrate support surface 707 is heated to desired temperature.In one embodiment, this substrate support 704 is to utilize known infrared line lamp to be heated to desired temperature.On the one hand, this substrate support 704 is the temperature that are heated between about 250 ℃ to about 850 ℃, and better between about 350 ℃ to about 650 ℃.On the one hand, the preferably when heat that can be applied to base material during the previous processing step of base material because of treatment process makes base material still be in temperature between about 250 ℃ to about 550 ℃, is sent to particulate with base material and reduces chamber 700 and substrate support 704.
Measure the configuration of chamber
In one embodiment, this support chamber 211 is one to measure chamber, and it is suitable for carrying out this preparation/analytical procedure 302 and/or this reprocessing/analytical procedure 310 before or after the processing step in carrying out process, to analyze substrate property.In general, the substrate property that can measure in measuring chamber comprises, but be not limited to, measure and to be deposited on the substrate surface in one or more layer intrinsic stress itself or from the thickness of one or more rete on the film composition of external stress, one or more sedimentary deposit, particle number on the substrate surface and the substrate surface.Subsequently, this system controller 102 can use the data of collecting from this measurement chamber, adjusting one or more state-variable in one or more processing step, and through producing the result of expectation on the base material of subsequent treatment.Be fit to be used for measuring and analyze the measurement chamber hardware of base material surface particle and the example of control algolithm is disclosed in the U.S. patent application case the 6th of amortizing jointly, 630, No. 995, the 6th, 654, No. 698, the 6th, 952, No. 491 and the 6th, in 693, No. 708, by reference those documents are incorporated herein for your guidance in full at this.
The film analysis chamber
In one embodiment, this support chamber 211 is one to measure chamber, and it is to be suitable for utilizing the measurement of known optical measuring technique to be deposited on thin film composition and thickness on the substrate surface.Typical composition and thickness measurement technique comprise known oval (ellipsometry), reflection measurement (reflectometry) or x-ray photoelectron spectroscopy (XPS) technology measured.Expected areas on substrate surface uses measured composition of these technology and thickness results to feed back to this system controller 102, can adjust one or more upstream in the process or downstream step.
System controller 102 stores and as analysed basis material component and thickness results, thereby change one or more state-variable, revise the defective of handling base material with the process results that reaches on the base material that improves subsequent treatment and/or by the technological parameter that is adjusted at these support chamber 211 downstream processes.In an example, after the EPI layer has been deposited on the substrate surface, carry out composition or thickness analysis, revise technology to adjust such as state-variables such as RF power, operation pressure, gas flow rate, film thickness, deposition rates, to avoid un-desired process results taking place at next time EPI depositing operation.
The oval measurement is a kind of non-invasive optical technology, is used for the composition of MEASUREMENTS OF THIN thickness, interface roughness and thin list layer and sandwich construction.This method is measured the change of the light polarization state that reflects from sample surfaces to judge known oval measurement parameter, and for example amplitude changes (Ψ), phase shift (Δ).These optical parametrics can be compared with computer patterns or storage data in this system controller 102 then, with judge on the substrate surface should the zone sample structure and composition.
Reflection measurement (Reflectometry) is the analytical technology that a kind of total external reflectance effect of utilizing optical radiation is studied thin layer.In the reflective analysis technology, be the optical radiation reflection of under different angles, measuring from sample, so decidable thickness and density, surface roughness.Computer patterns or storage data in these reflection measurements result and this system controller 102 can be compared then, with judge on the substrate surface should the zone sample structure and composition.
X-ray photoelectron spectroscopy (XPS) equipment can be used to measure elemental composition, chemical state and the electronic state of each element in the material.By shining material with the X-ray bundle, kinetic energy and the quantity of using known measuring technique to measure the electronics that is detached simultaneously from material to be analyzed obtain XPS spectrum.These XPS results can be compared with computer patterns or storage data in this system controller 102 then, with judge on the substrate surface should the zone sample structure and composition.
In one embodiment, a pattern identification system and one or more analytical procedure and the usefulness carried out in supporting chamber 211 are to provide analysis and the feedback about the state of institute's favored area on the substrate surface.In general, this pattern identification system uses an optical detective technology to scan substrate surface, and relatively scans data that receive and the data that are stored in the controller from this, so this controller can determine where to measure go substrate surface.In one embodiment, this pattern identification system comprises a controller (for example, controller 102 (Fig. 2)), known CCD camera and a pedestal, and this pedestal is suitable for moving the base material that is arranged on this pedestal with respect to this CCD camera.During technology, be stored in data that data in the controller internal memory receive during by substrate surface with the CCD camera relatively, therefore can find and desire the zone tested on the substrate surface, utilize then and measure the interior spare part of chamber and analyze this zone.
Base material bending stress Measurement and analysis chamber
In another embodiment, this support chamber 211 is suitable for utilizing known base material flexural measurement technology to measure stress (stress) or the strain (strain) in institute's deposit film on the substrate surface.It should be noted that, can calculate the stress and strain that base material one zone includes by measuring a kind of parameter (for example, stress or strain), measure or learn the interior contained material type of measurement zone and/or one or more material behavior usually.Configurable one in order to measure known stress or the strain measurement equipment that base material flexibility or flexibility change at process period, to measure stress or the strain in the base material after one or more processing step in carrying out process, then the result is fed back to system controller 102, make that system controller 102 can determine to take what action in one or more processing step in process.The known stress measurement equipment that is suitable for measuring base material stress can be obtained from KLA-Tencor company, Nanometrics company or Therma-Wave company.
In an example, preferable stress or strain of measuring formed EPI layer in the previous depositing operation step, and these data are fed back to system controller 102, system controller 102 determines how to improve the process results that reaches subsequently on the subsequent treatment base material, or even problem that downstream process is adjusted and notices from base material stress or strain measurement to solve.This system controller 102 uses crooked results of this base material (bow results) to adjust one or more state-variable, and for example RF power, operation pressure, film thickness, deposition rate are to improve the process results on the follow-up substrate surface.
XRD measures chamber
In one embodiment, measurement chamber application X-ray diffraction (XRD) technology that is incorporated in this cluster tool 100 is come MEASUREMENTS OF THIN thickness, thin film composition and membrane stress or strain.Typical X RD technology is used Bragg's equation (Bragg ' s Law) to come assistant analysis and is explained one or more substrate surface zone is exposed to the diffraction pattern that X-ray radiation following time is produced.In general, this XRD chamber contains X-ray source, one or more irradiance detection device, a substrate support and an actuator, this actuator can connect the X-ray source with respect to base material, or engage substrate support, thereby can produce and analyze diffraction pattern (diffraction pattern) with respect to X source.Before or after carrying out one or more process processing step, can use from the XRD type and measure the various characteristics that result that chamber obtains measures the film on the substrate surface.By using system controller 102, can use the result who receives from the XRD chamber to adjust the state-variable of various processing steps, to improve the result of this process.In an example, the preferable stress of measuring formed EPI layer in the depositing operation step formerly.Therefore, utilisation system controller 102 uses XRD results to adjust one or more EPI state-variable, and for example RF power, operation pressure, film thickness, deposition rate are to improve process results.For the configuration that use independent separate measurement chamber is carried out those analyses, use can be at the measurement chamber (for example XRD chamber) of process different phase demonstration such as several different film characteristics such as stress, thin film composition, thickness, help to reduce system cost, reduce system's body floor space, improve the reliability of cluster tool, and reduce and between chamber, transmit base material required extra time.
Fig. 7 marks a kind of cross sectional side view of supporting chamber 211 or measuring chamber 750, and it can be used to analyze substrate property before or after a treatment step of carrying out in the process (for example, process 300 described as follows and process 301A-301B).This measurement chamber 750 can be installed on the interior any available position of cluster tool, for example position 114A-114F (Fig. 2) or position 214A-214E (Fig. 3).In general, measuring chamber 750 comprises an envelope and encloses 761, one and measure an assembly 811 and a substrate support 754.This substrate support 754 has a substrate support surface 757.This envelope is enclosed 761 and is contained a chamber body 752, a Pit cover 753 and a clear area 755 usually.On the one hand, this envelope is enclosed 751 and is contained one or more seal 756 in order to sealing this treatment region 770, so it can utilize the vacuum pump (not shown) to be evacuated to vacuum state during technology.On the one hand, this treatment region 770 is to be evacuated to about 10 -6The holder ear is to the pressure between about 700 holder ears.This clear area 755 can by pottery, glass or to include other material that 813 radiation that radiate of originating can penetrate from this measurements assembly 811 made.In one embodiment, the radiation of radiating from this source 813 is by this clear area 755 bump substrate surfaces, and be reflected and return in this this radiation by this clear area 755, and inductor 812 collections that included by this measurements assembly 811.On the one hand, this measurement chamber 750 contains a lifting assembly 720, it is suitable for respect to substrate support 754 base material " W " being raise and reduction, so the mechanical arm (not shown) can transmit base material between the measurement chamber 750 in this cluster tool and other treatment chamber.
The support chamber of integrating
Fig. 8 shows the cross sectional side view that contains the transfer chamber 110 of supporting chamber combination 800, and this support chamber combination 800 is included in and can be suitable for carrying out in the support chamber 211 of measuring technology, pretreating process step or aftertreatment technology step.In one embodiment, as shown in Figure 8, this support chamber combination 800 is that configuration is in order to reduce the particle number of substrate surface during preparation/analytical procedure 302 and/or reprocessing/analytical procedure 310.Except envelope is enclosed 701 spare parts, this support chamber combination 800 contains all spare parts that aforesaid particulate reduces to be provided in the chamber 700 usually, and for example chamber body 702 and Pit cover 703 are to replace it with transfer chamber pedestal 110B and transmission cavity chamber cap 110A respectively.
In one embodiment, this substrate support 704 and lift assemblies 720 are arranged in this transit area 110C, and are installed on the transfer chamber pedestal 110B of transfer chamber 110, therefore adjoin one or more treatment chamber (for example, Fig. 8 marks treatment chamber 201).In this configuration, therefore this source radiation 711 is linked to the strutting piece 808 that is installed on the transmission cavity chamber cap 110A, and the radiation of radiating from this source radiation 711 is by this clear area 705 and strike base material W on the substrate support surface 707 that places substrate support 704.Can use this system controller 102 and position actuator (not shown) in this lift assemblies 720 between this mechanical arm blade assembly 113A and this substrate support 704, to transmit base material " W ".This supports chamber combination 800 usually through configuration, to avoid during this mechanical arm 113 is finished normal transfer operation, bumps between any spare part in mechanical arm 113 and the support chamber combination 800.
Fig. 9 is a cross sectional side view of supporting chamber combination 800 1 embodiment, and it is arranged on the transfer chamber 110 of a part, therefore can carry out above-mentioned particulate when base material W places on the mechanical arm blade assembly 113A of this mechanical arm 113 and reduce step.In one embodiment, source radiation 711 is installed on this transmission cavity chamber cap 110A, and this base material W places source radiation 711 belows, therefore transmitting base material by during the step of this cluster tool 100, when base material passed through to support chamber combination 800 belows, penetrating source 711 radiation of radiating from this width of cloth can clash into to substrate surface.In another embodiment, during transfer step, this system controller 102 and mechanical arm 113 are applicable to this mechanical arm vane group thousand 113A and base material W location and remain on one period expeced time of these source radiation 711 belows that therefore can carry out particulate on base material removes technology.
Figure 10 is the cross sectional side view that contains the transfer chamber 110 of supporting assembly 801, this supporting assembly 801 is to be contained in to support in the chamber 211, before or after the processing step in carrying out process, carry out preparation/analytical procedure 302 and/or reprocessing/analytical procedure 310 to analyze substrate property.In one embodiment, this support chamber combination 801 is the equipment of XRD, XPS, stress measurement equipment, reflectometer (reflectometer) or oval meter type, and it is provided with in order to measure substrate property with the signal of inductor 812 reception parts then by base material W being exposed under 813 radiation of radiating of originating.The result that will support chamber combination 801 to receive is sent to system controller 102 subsequently, makes system controller 102 can adjust one or more state-variable in the process, to improve the process results that reaches in system.
This support chamber combination 801 contains usually and is arranged in the transit area 110C and is installed in substrate support 804 and lift assemblies 820 on the transfer chamber pedestal 110B of transfer chamber 110.In one embodiment, this support chamber combination 801 is arranged on and adjoins one or more treatment chamber place (for example, Figure 10 marks treatment chamber 201).In this configuration, this measures assembly 811 and transmission cavity chamber cap 110A binding, and can see through the artistic face W1 that the base material W on the substrate support surface 807 that places substrate support 804 is observed in the clear area 705 that links with this Pit cover 110A sealing.The actuator (not shown) that available this system controller 102 and this lift assemblies 820 include transmits base material " W " between this mechanical arm blade assembly 113A and this substrate support 804.This support chamber combination 801 designs and is configured to make mechanical arm 113 usually and supports any spare part in the chamber combination 801 can not collide each other during finishing normal transfer operation by this mechanical arm 113.
Figure 11 is arranged on the cross sectional side view of support chamber combination 801 1 embodiment on the transfer chamber 110, therefore can when placing on the mechanical arm blade assembly 113A of mechanical arm 113, base material W carry out above-mentioned preparation/analytical procedure 302 and/or reprocessing/analytical procedure 310.In one embodiment, this base material W is transmitting base material by during the technology of cluster tool 100 through being provided with, making, when base material passed through to support chamber combination 801 belows, inductor 812 can receive from 813 radiation of radiating of originating.In another embodiment, this system controller 102 and mechanical arm 113 are applicable to booking and keep this mechanical arm blade assembly 113A and base material W, therefore support chamber combination 801 to analyze on one or more zone of base material.
In a unshowned embodiment, support chamber combination 800 and support that chamber combination 801 is incorporated in the complete assemblies, this complete assemblies is installed on the interior any available position of cluster tool, for example position 114A-114F (Fig. 2) or position 214A-214E (Fig. 3).In one embodiment, support chamber combination 800 and/or support chamber combination 801 to be integrated in one of them person of those load locking rooms 106A-106B (Fig. 2 or 3).
Stand-by period problem and cluster tool configuration
In one embodiment, this cluster tool 100 comprises one and prepares chamber, and it is to be suitable for carrying out one or more pre-clean step, carries out the subsequent components processing step well to prepare substrate surface.Be key factor or be exposed in the atmosphere or the time under other pollutant sources can influence semiconductor subassembly production phase of assembly productive rate, reproducibility and the black box usefulness of being produced that pre-clean step is normally important for the time span between those processing steps or stand-by period.In an example, those treat that the time problem is caused by the amount of pollutant on the substrate surface, and there is dependent relation (time-dependent) amount of the pollutant time common and that base material is exposed to from the organic pollution that this wafer casket, FOUP or other base material treatment spare part are overflowed.In another example, those treat that the time problem is by can native oxide being caused before forming one or more contact level feature, so influence in one batch the usefulness of the assembly that forms on the different substrate materials.Form the adverse effect that the growth primary oxide is caused on the semiconductor subassembly in order to be reduced in, need to remove this native oxide at the eve of carrying out next processing step, for example before carrying out metal-oxide semiconductor (MOS) assembly gate oxide formation step, remove native oxide.Therefore carrying out those preparation process can guarantee that each base material initial conditions of handling are all identical in this cluster tool, and makes process results have more reproducibility.Therefore this preparation process can effectively be eliminated the influence that time difference of being exposed between first base material and last base material in one batch in the air pollution and the difference between one batch of base material and another batch base material are brought.
In one embodiment, this system controller 102 is applicable to the stand-by period that monitors and be controlled at the base material of handling in this cluster tool 100.Make the stand-by period of base material before after first treatment chamber is handled and in next treatment chamber, handling reduce to minimum, help to control and minimize the influence that is exposed under the pollutant sources components performance produced.This embodiment with cooperate described those of Fig. 2 to 11 to inspect/analyze and particulate/pollution removes step and other embodiment and time spent advantageous particularly, because operational analysis and/or particulate/pollution remove step, can further make one or more base material treatment step in the technology of using pre-clean step and one or more base material treatment step (for example PVD, CVD, EPI, dry ecthing) reach optimization.On the one hand, those analyses and/or particulate/pollution remove step and can be used to this pre-clean process prescription of further optimization.In one aspect of the present invention, when these system controller 102 controls begin or finish the time point of a technical recipe step, to increase systematic yield and to reduce any stand-by period problem.
Described herein pre-clean step can use wet chemical processes and/or plasma modification process (plasma modification processes) to prepare substrate surface.Can be used to carry out the exemplary process of one or more preparation process and two examples of hardware are to be described below.
The plasma pre-clean chamber configuration
In one embodiment, the preparation/analytical procedure 302B in the process 301A marks at Figure 13, and it uses plasma auxiliary type pre-clean processes step to remove native oxide and other pollutant that is formed on the substrate surface before this step.Because exist native oxide and other pollutant will appreciable impact assembly productive rate and process reproducibility result on the substrate surface, so can on this base material, carry out one or more pre-clean step.
Figure 13 marks the exemplary process program 301A that can carry out the pre-clean process step in cluster tool 100 (Fig. 4).Except adding preparations/analytical procedure 302B, Figure 13 is similar with process 300 shown in Figure 5, so can carry out plasma and assist pre-clean process on substrate surface.In one embodiment, this process 301A contains preparation/analytical procedure 302A, and it is to be used for inspecting and to analyze the base material surface characteristic or carry out particulate removing step, then carries out the precleaning type preparation/analytical procedure 302B that discusses below thereafter.In the one side of process 301A, in the group that technology is formed under substrate process step 304 and the optional freedom of substrate process step 306, comprise oxide etching, metal etch, EPI, RTP, DPN, PVD, CVD (for example, CVD polysilicon, TEOS etc.) or other semiconductor substrate treatment step that is fit to.
In one embodiment, this preparation/analytical procedure 302B (being called for short pre-treatment step afterwards) carries out in pre-clean chamber 1100 (Figure 12), and pre-clean chamber 1100 is suitable for carrying out etching step and in-situ annealing step.Be suitable for removing that the pre-clean chamber of native oxide on the substrate surface and other pollutant and technology are described in more detail in that file an application the 22 days February in 2005 of amortizing jointly and title is the U.S. patent application case the 60/547th of " the original position dry cleaning chamber that is used for FEOL ", in No. 839, this with its integral body with under the situation that the present invention who is advocated conflicts not to be incorporated herein.
In one embodiment, this pre-clean chamber 1100 can be carried out the plasma-assisted chemical etch process, and this technology is used the base material heating and cooling under single process environments both carry out this processing step.Figure 12 marks the fragmentary cross-sectional view of pre-clean chamber 1100.This pre-clean chamber 1100 is vacuum chambers, and it contains cap assemblies 1101, the substrate support member 1102 that temperature is controlled, chamber body 1110 and the treatment region 1120 that temperature is controlled.This treatment region 1120 is the zones between this cap assemblies 1101 and this substrate support member 1102.This substrate support member 1102 is suitable for supporting during technology and the control base material temperature usually.This cap assemblies 1101 comprises process gas supplies trays (not shown) and first and second electrodes (assembly 1130 and 1131), and those electrode definitions go out plasma chamber to produce plasmas in these treatment region 1120 outsides.This process gas supplies trays (not shown) is connected with gas source 1160, and it provides one or more reacting gas to this plasma chamber, sees through this second electrode 1131 and enters this treatment region 1120.This second electrode 1131 is arranged on this base material top, and is suitable for this base material of heating after the auxiliary dry etching process of plasma is finished.
Figure 12 marks the fragmentary cross-sectional view of illustration pre-clean chamber 1100.In one embodiment, this pre-clean chamber 1100 comprises chamber body 1110, cap assemblies 1101 and supporting component 1140.This cap assemblies 1101 is arranged on this chamber body 1110 upper ends, and this supporting component 1140 to small part is arranged in this chamber body 1110.This chamber body 1110 comprises the slit valve opening 1111 that is formed on its sidewall, to pass in and out this pre-clean chamber 1100 inside.This slit valve opening 1111 selectively unlockings and closing pass in and out this chamber body 1110 inside to allow the mechanical arm (for example, the mechanical arm 113 of Fig. 2) that grasps base material.
In one or more embodiment, this chamber body 1110 comprises formation fluid channel 1112 within it, with the heat transfer fluids that circulates in fluid channel 1112.This heat transfer fluids can be heating fluid or cooling agent, and can be used to the temperature of control chamber body 1110 during technology and base material transmission.The temperature of this chamber body 1110 is important, condenses on those chamber walls to avoid unnecessary gas or accessory substance.The illustration heat transfer fluids comprises water, ethylene glycol or its mixture.One illustration heat transfer fluids also can comprise nitrogen.
This cap assemblies 1101 comprises first electrode 1130 usually, to produce the plasma that contains one or more reactive species in this cap assemblies 1101, to carry out one or more pre-treatment step.In one embodiment, this first electrode 1130 be supported on the top board 1131 and with its electrical isolation.In one embodiment, this first electrode 1130 and a power supply 1132 link, and these second electrode, 1131 ground connection.In view of the above, when process gas when gas source 1160 sees through the hole 1133 that is formed in this top board and is delivered in this treatment region 1120, can contain the plasma of one or more process gas in the volume generation between this first electrode 1130 and this second electrode 1131.
Can use and to activate those gases and become reactive species and keep the isoionic power supply 1132 of reactive species.For example, this power supply 1132 can transmit the energy of radio frequency (RF), direct current (DC) or microwave (MW) power kenel to this treatment region 1120.Perhaps, can use long-range activation source, for example the remote plasma generator produces the reactive species plasma, and it transmits this plasma then and enters pre-clean chamber 1100.In one embodiment, can be depending on process gas and this second electrode 1131 is heated in the operation that will carry out in this pre-clean chamber 1100.In one embodiment, a heating component 1135, for example resistance heater can link with this second electrode 1131 or this distribution plate.The adjusting that can utilize the thermocouple that links with this second electrode 1131 or this distribution plate to come auxiliary temperature.
This gas source 1160 normally is used to provide one or more gas to this pre-clean chamber 1100.Employed specific gas depends on the technology of carrying out in this pre-clean chamber 1100.Illustration gas can comprise, but is not limited to, one or more precursor, reducing agent, catalyst, carrier gas, Purge gas, clean air or its any mixing or combination.Usually, one or more gas that feeds this pre-clean chamber 1100 flows into this cap assemblies 1101, sees through this second electrode 1131 then and enters this chamber body 1110.Depend on technology, can transmit any amount of gas, and can in this pre-clean chamber 1100 or before gas is transferred into this pre-clean chamber 1100, mix to this pre-clean chamber 1100.Utilize vacuum subassembly 1150 to see through then to be formed on slit 1114 in this liner 1113 and pump to inhale channels 1115 and discharge the process gas that exists in these chamber body 1110.
This supporting component 1140 can be arranged in this chamber body 1110 to small part.This supporting component 1140 can comprise substrate support member 1102 with support base material (not illustrating in this view), is beneficial to handle in this chamber body 1110 base material.This substrate support member 1102 can be connected with the lifting mechanism (not shown) that extends through these chamber body 1110 basal surfaces.This lifting mechanism (not shown) can utilize bellows (bellows, not shown) flexibly to be sealed to this chamber body 1110, leaks around this lifting mechanism to avoid vacuum.This lifting mechanism make this substrate support member 1102 can be between technology position in this chamber body 1110 and lower delivering position vertical moving.This delivering position is a shade below the slit valve opening 1111 that is formed on these chamber body 1110 sidewalls.
In one or more embodiment, this substrate support member 1102 has a smooth circular surface or a smooth in fact circular surface, with support level pending base material thereon.This substrate support member 1102 is preferable to be made of aluminium.This substrate support member 1102 can be in this chamber body 1110 vertical moving, so distance between may command substrate support member 1102 and the cap assemblies 1101.Substrate support member 1102 can comprise one or more hole (not shown) that runs through therebetween and form and send (not shown) to hold lifting.Each lifting is sent usually by pottery or is contained ceramic material and constitutes, and is used for control and transmit base material.In one or more embodiment, can utilize static or vacuum chuck that the base material (not shown) is fixed on the substrate support member 1102.In one or more embodiment, can utilize mechanical type clamp (not shown) that base material is remained on appropriate location on this substrate support member 1102, for example known wafer retainer ring (clamp ring).Preferably, utilize electrostatic chuck to fix this base material.
The temperature of this supporting component 1140 is controlled by the fluid that cycles through in one or more fluid channel 1141 that is embedded in these substrate support member 1102 main bodys.Preferably, this fluid channel 1141 is arranged on this substrate support member 1102 all around, with the base material receiving surface that provides uniform heat to be sent to this substrate support member 1102.This fluid channel 1141 can flow heat transfer fluids with heating or cool off this substrate support member 1102.Can use any suitable heat transfer fluids, for example water, nitrogen, ethylene glycol or its mixture.This supporting component 1140 can further comprise an embedded thermocouple meter (thermocouple, not shown), to monitor the surface-supported temperature of this substrate support member 1102.
During operation, this substrate support member 1102 can be increased to contiguous this cap assemblies 1101, to control the temperature of processed base material.So, can utilize, and heat this base material from the radiation that this cap assemblies 1101 or this distribution plate radiate because of heating component 1135 this cap assemblies 1101 of heating or this distribution plates.Perhaps, can utilize those liftings to send lifts this base material from this substrate support member 1102 and near this cap assemblies that has heated 1101.
To be described in the indoor execution of pre-clean chamber now and utilize ammonia (NH 3) and Nitrogen trifluoride (NF 3) admixture of gas removes the exemplary dry etching process of the native oxide on the substrate surface.This dry etching process starts from base material, semiconductor substrate for example, and it is indoor to be placed in pre-clean chamber.Preferably, utilizing vacuum or electrostatic chuck base material to be remained on the supporting component 1140 of this substrate support member 1102 during the technology.Preferablely this chamber body 1110 is remained on temperature between 50 ℃ to 80 ℃, better about 65 ℃.By making heat transmit media is kept this chamber body 1110 by the fluid channel 1112 that is positioned at this chamber body temperature.During technology, by being formed on the fluid channel 1112 in this substrate support base material is cooled to and is lower than 65 ℃ by making heat transmit media or cooling agent, for example between 15 ℃ and 50 ℃.In another embodiment, base material is remained on temperature between 22 ℃ to 40 ℃.Usually, this substrate support remains below about 22 ℃, to reach the above-mentioned expection base material temperature that indicates.
Then ammonia and gas of nitrogen trifluoride are fed this pre-clean chamber to form the clean air mixture.Can change the amount that feeds each gas in this chamber, and can cooperate the geometry of base material of the thickness of oxide layer for example desiring to remove, desire cleaning and the factors such as volume capacity of isoionic volume capacity and this chamber body 1110 to adjust admixture of gas.On the one hand, add gas with provide ammonia to Nitrogen trifluoride not ear than the admixture of gas of 1:1 at least.In another aspect, the not ear of admixture of gas ratio is at least about 3 to 1 (ammonia is to Nitrogen trifluorides).Preferably, those gases be with between 5:1 (ammonia is to Nitrogen trifluoride) to the not ear of 30:1 than feeding this dry ecthing chamber.More preferably, the not ear ratio of admixture of gas is to about 10 to 1 from about 5 to 1 (ammonia is to Nitrogen trifluorides).The not ear of admixture of gas is than also dropping between about 10:1 (ammonia is to Nitrogen trifluoride) and the about 20:1.
Also can add clean air or carrier gas to this admixture of gas.Can use any suitable clean air/carrier gas, for example argon gas, helium, hydrogen, nitrogen or its mixture.Usually, total admixture of gas has about 0.05% ammonia and Nitrogen trifluoride to about 20% percent by volume.Remaining is carrier gas.In one embodiment, this clean air or carrier gas fed this chamber body 1110 in advance before those reactant gases, to stablize the pressure in this chamber body.Operating pressure in this chamber body can change.Usually, pressure maintains about 500 millitorrs (mTorr) between about 30 holders (Torr).Preferably, pressure maintains about 1Torr between about 10Torr.More preferably, the operating pressure in this chamber body is to maintain about 3Torr between about 6Torr.
Apply RF power between about 5 to about 600 watts to this first electrode, to light the admixture of gas plasma in this plasma chamber.Preferably, this RF power is lower than 100 watts.More preferably, the frequency of supplying this power is low-down, for example is lower than 100kHz.Preferably, frequency range is from about 50kHz to about 90kHz.
The plasma energy is decomposed into reactive species with ammonia and gas of nitrogen trifluoride, its in conjunction with and form the reactive gas phase fluorination ammonium of tool height (NH 4F) compound and/or ammonium acid fluoride (NH 4F.HF).These molecules flow through this second electrode 1131 then and with the substrate surface reaction of desire cleaning.In one embodiment, earlier carrier gas is fed this pre-clean chamber, produce the carrier gas plasma, add reactant gas, ammonia and Nitrogen trifluoride then to this plasma.
Do not wish to be subject to theory, this etching gas of salty letter, NH 4F or NH 4F.HF can form ammonium hexafluorosilicate ((NH with the native oxide surface reaction 4) 2SiF 6), product such as ammonia and water.Ammonia and water are steams under process conditions, and the vacuum pump of utilization and the binding of this chamber is removed from this chamber.On this substrate surface, stay (NH 4) 2SiF 6Film.
After carrying out plasma treatment step, form (NH on this substrate surface 4) 2SiF 6Film is increased to contiguous this with this substrate support and has heated the annealing position at the second electrode place.The heat that gives out from this second electrode 1131 should be enough to this (NH 4) 2SiF 6Film decomposes or distillation is products such as volatility silicon tetrafluoride, ammonia and hydrogen fluoride.Utilize vacuum subassembly 1150 to remove these volatile products then from this chamber.Usually, use 75 ℃ or higher temperature effectively to distil from this base material and remove this film.Preferably, use 100 ℃ or higher temperature, for example the temperature between about 115 ℃ to about 200 ℃.
With (NH 4) 2SiF 6The heat energy that film is decomposed into volatile ingredient is to utilize this second electrode convection current or give off.One heating component 1135 directly links with this second electrode 1131, and activation with this second electrode and and the spare part of its thermo-contact be heated to temperature between about 75 ℃ to about 250 ℃.On the one hand, with the temperature of this second heated by electrodes between about 100 ℃ to about 150 ℃, for example about 120 ℃.In case remove this film from base material, i.e. cleaning and this chamber of emptying.Then by reduce this base material to this delivering position, (de-chucking) this base material and this base material transmitted by this slit valve opening 1111 clean base material is shifted out from this chamber gets loose.
As shown in figure 13, after carrying out this preparation/analytical procedure 302B, next can utilize one or more base material treatment step of a technology that is selected from the group that forms by following technology to handle this base material, comprise oxide etching, metal etch, EPI, RTP, DPN, PVD, CVD (for example, CVD polysilicon, TEOS etc.) or other semiconductor substrate processing step that is fit to.
The configuration of wet-cleaning type pre-clean chamber
In another embodiment, before one or more base material assembly production craft step in carrying out a process, utilize wet-cleaning type pre-clean process (being called for short wet type cleaning process afterwards) to remove earlier at native oxide and other pollutant of exposing on the substrate surface.Figure 14 marks a process 301B, and it can improve assembly productive rate and process reproducibility by carrying out one or more wet-cleaning type pre-clean process step.
Can on substrate surface, carry out wet type cleaning process and handle, for example in conjunction with the described person of Figure 13 and 14, to remove native oxide, particulate and other pollutant.Figure 14 marks the example process program 301B that can carry out in the cluster tool 101 of Figure 15.Figure 14 is similar to process 301A shown in Figure 13, except before carrying out this preparation/analytical procedure 302A, has carried out preparation/analytical procedure 302C earlier.In one embodiment, this preparation/analytical procedure 302A comprises base material preparation/analytical procedure (for example, preparation/analytical procedure 302 of Fig. 5) or above-mentioned particulate removes step.In one embodiment, this preparation/analytical procedure 302C is a wet-cleaning type base material preparation process described as follows.In the embodiment of this process 301B, after carrying out this preparation/analytical procedure 302C, those base materials continue to base material treatment step 304 and base material treatment step 306, in its optional row freely group that semiconductor subassembly formation step is formed down, comprise oxide etching, metal etch, EPI, RTP, DPN, PVD, CVD (for example, BLOk, CVD polysilicon, TEOS etc.) or other semiconductor substrate treatment step that is fit to.
Figure 15 is the plane graph of cluster tool 101 1 embodiment, and it contains treatment region 120, link module 350 and front end environment 104.This treatment region 120 contains usually just like with reference to figure 2 described spare parts, and it generally comprises one or more treatment chamber 201-204, one or more supports chamber 211 (illustrating two), transfer chamber 110 and load locking room 106A-B.Those load locking rooms 106A-B is communicated with this transfer chamber 110 and link module 350.It should be noted that this support chamber 211 can be arranged in other zone of this cluster tool, for example the position 354A-B in position 114A-F, position 214A-D and this link module 350.This link module 350 has a transit area 351 usually, and it connects this front end environment 104 and this treatment region 120.This link module 350 generally contains one and links mechanical arm 330 and one or more wet-cleaning chamber 360.In one embodiment, this binding mechanical arm 330 has slide assemblies 331, and it is suitable for making this binding mechanical arm 330 to transmit base material between the brace table 104A in those load locking rooms 106A-106B, those wet-cleaning chambers 360 and this front end environment 104.Being configured in binding mechanical arm 330 in the transit area 351 of this link module 350 usually can be linear, rotation and vertical moving, with at those load locking rooms 106 be installed between brace table 104A in this front end environment 104 and transmit base material.This front end environment 104 is commonly used to that base material is seen through normal pressure cleaning ambient/envelope from the wafer casket (not shown) that is arranged in several wafer cassette 105 and encloses and be sent to some desired location, for example brace table 104A.
This wet-cleaning chamber 360 normally is suitable for utilizing one or more wet chemical treatment step to remove and is exposing the former oxide layer on the substrate surface and the chamber of other pollutant.This wet-cleaning chamber 360 can be the Emersion that obtains from Applied Materials TMChamber or TEMPEST TMThe wet-cleaning chamber.The example of one illustration wet-cleaning chamber 360 is further described in the U.S. patent application case the 09/891st of filing an application on June 25 calendar year 2001 of amortizing jointly, No. 846 and the U.S. patent application case the 10/121st of filing an application in 11 days April in 2002 of amortizing jointly, in No. 635, both all this by reference its whole mode be incorporated herein.
During technology, this wet-cleaning chamber 360 disposes usually in order to the cleaned base material surface.On the one hand, this wet-cleaning chamber is suitable for carrying out one or more processing step, makes the end that is exposed to the compound on the substrate surface have a functional group.The functional group who links and/or be formed on the substrate surface comprises hydroxyl (OH), alkoxyl (OR, wherein R=methyl, ethyl, propyl group or butyl), oxyhalogen base (OX, wherein X=fluorine, chlorine, bromine or iodine), halide (fluorine, chlorine, bromine or iodine), oxygen radical and amino (NR or NR 2, wherein R=hydrogen, methyl, ethyl, propyl group or butyl).This wet type cleaning process can be exposed to substrate surface in the reactant, for example ammonia, diborane (B 2H 6), silane (SiH 4), disilane (SiH 6), water, hydrogen fluoride (HF), hydrogen chloride (HCl), oxygen, ozone, hydrogen peroxide, hydrogen, hydrogen atom, nitrogen-atoms, oxygen atom, alcohol, amine (amines), its plasma, its derivative or its composition.Those functional groups can be subsequently that employed precursor provides a substrate in CVD or ald (ALD) step, to be attached on the substrate surface.In one embodiment, this wet type cleaning process can be exposed to substrate surface in one reactant and continue between about 1 second to about 2 minutes.Wet type cleaning process also can comprise substrate surface is exposed in RCA solution (SC1/SC2), hydrogen fluoride final solution (HF-last solution), steam, peroxide solutions, acid solution, alkaline solution, its plasma, its derivative or its composition from WVG or ISSG system.Available wet type cleaning process is described in the United States Patent (USP) the 6th of amortizing jointly, 858, No. 547 and file an application 21 days November in 2002 in the case examination altogether and title for " strengthening the surface preparation of the nucleation of high dielectric constant material " and be disclosed as the U.S. patent application case the 10/302nd of No. 20030232501, US, in No. 752, both all are incorporated herein by quoting its whole mode this Jie.
In an example of wet type cleaning process, before second processing step that base material is exposed in order to the chemical oxide layer that forms about 10 dusts of thickness or lower (for example about 5 dusts are to about 7 dusts), remove native oxide earlier.Available hydrogen fluoride final solution is removed native oxide.The TEMPEST that this wet type cleaning process can obtained from Applied Materials TMCarry out in the wet-cleaning system.In another example, base material is exposed in the steam that derives from the WVG system about 15 seconds.The final treatment step of known hydrogen fluoride uses to contain usually and is lower than the next final step as this process of the about 1% hydrofluoric aqueous solution, to form a passivation layer (passivation layer) on the silicon face that exposes.The final technology of available this hydrogen fluoride forms high-quality grid oxic horizon reliably.
As shown in figure 14, after carrying out this preparation/analytical procedure 302A, next available one or more substrate process step that is selected from the group that is made up of following technology is handled base material, comprise oxide etching, metal etch, EPI, RTP, DPN, PVD, CVD (for example, CVD polysilicon, TEOS etc.) or other semiconductor substrate treatment step that is fit to.
Use the UV cleaning procedure to improve technology
Along with the semiconductor subassembly size is dwindled, 45 nanometer nodes or littler for example, the growth of native oxide and/or be exposed to that caused stand-by period effect becomes more serious problem under the organic contamination.In order to reduce the native oxide growth or to pollute the adverse effect that the semiconductor subassembly that forms is caused, can be before carrying out deposition step, one or more cleaning procedure of execution is under the expection clean level to guarantee substrate surface earlier.In an embodiment of this cluster tool, one or more treatment chamber 201-204 or support chamber 211 contain a source radiation, it is suitable for transmitting the UV light of one or more wavelength with the cleaned base material surface, to reduce the stand-by period effect, thereby be ready to base material to carry out subsequent deposition process, for example CVD, PVD or ALD type process.In this configuration, the processing step program of carrying out on substrate surface in this cluster tool comprises the step (after this being called the UV cleaning procedure) of utilizing the UV energy source to come the cleaned base material surface.Before deposition step, add the UV cleaning procedure, particularly when being useful especially, because the nucleation of the EPI layer that is deposited and formed EPI layer internal stress are very sensitive for the state of this surface when technology begins carrying out extension (EPI) layer deposition steps eve side execution UV cleaning procedure.In one embodiment, one base material treatment program comprises and is equipped with step surely, for example wet-cleaning type base material preparation process (preparation/analytical procedure 302C of Figure 14) or pre-clean processes step (preparation/analytical procedure 302B of Figure 13) and UV cleaning procedure step, improving the cleanliness factor of substrate surface, and can more control the substrate surface state before the base material manufacturing steps such as EPI, CVD, PVD or ALD depositing operation for example carrying out with reproducing.Those preparation process, for example wet-cleaning type base material preparation process or pre-clean process step, therefore can be used to remove most pollution or native oxide on the substrate surface, this UV cleaning procedure is to be used for before the substrate process step of carrying out subsequently simultaneously, for substrate surface is done last preparation and/or passivation.
In one embodiment, for other known clean technologies, use this UV cleaning procedure can reduce the temperature of carrying out cleaning and/or passivation technology, to reduce heat budget.For example, when using expection UV amount of radiation, the base material temperature during the technology can be lower than 750 ℃, and is usually less than 700 ℃.On the one hand, this UV auxiliary process is carried out under the temperature between about 500 ℃ to about 700 ℃.Known siliceous substrates cleaning and passivation step are used in the eve side that carries out the EPI deposition step usually, and are carried out in the temperature between about 750 ℃ to about 1000 ℃ usually.On the one hand,, may reduce the temperature or the required time of clean surface of carrying out this cleaning and passivation technology by in the surrounding environment that contains hydrogen, handling base material in the presence of the UV radiation, or lower simultaneously temperature and required time both.In one embodiment, carry out this UV cleaning procedure to prepare the siliceous substrates surface of clean and passivation, with the silicon-containing film of deposition epitaxial growth.
Referring to Fig. 6, in one embodiment, this particulate reduces chamber 700 and be more suitable for being used for carrying out cleaning procedure on substrate surface.On the one hand, this particulate reduces chamber 700 and comprises an envelope and enclose 701, one source radiation 711, a substrate support 704, a heating component 722, a vacuum pump 736 and gas delivery source 735, and this gas delivery source 735 is suitable for carrying the clean air that contains reducing gass such as hydrogen for example to this treatment region 710.During operation, during this substrate surface cleaning and passivation technology, utilize this vacuum pump 736 that the pressure in this treatment region 710 is controlled between about 0.1 to about 80Torr.Those heating components 722 and system controller 102 are used for during the technology base material temperature being controlled between about 550 ℃ to about 750 ℃, and usually between about 550 ℃ to about 700 ℃.Utilize this system controller 102 and source radiation 711 that the power density of UV radiation is controlled at about 1mW/cm 2To about 25mW/cm 2In the scope and have between about 120 nanometers to one or more wavelength between about 430 nanometers.
In an example,, be exposed to about 180 nanometers of wavelength or shorter radiation simultaneously and get off to finish this UV cleaning procedure by this base material being exposed in the clean air that contains hydrogen.During the UV cleaning procedure, hydrogen flow rate is maintained about 25slm between about 50slm, substrate surface temperature is to continue about 1 minute to about 5 minutes in 500 ℃ to 650 ℃ scopes simultaneously.Pressure in the treatment region can be at about 0.1Torr to about 100Torr scope, and pressure is situated between at about 5Torr to about 30Torr scope usually.The power density that is delivered to the UV radiation of substrate surface can be situated between at about 2mW/cm 2To about 25mW/cm 2In the scope.
In one embodiment, as shown in figure 16, be after carrying out this pre-clean process step 302B, and before carrying out this processing step 304, carry out UV cleaning procedure 302D.This process 301C that Figure 16 marks is similar to process shown in Figure 13, except adding transfer step A3 ' and UV cleaning procedure 302D to carry out the UV cleaning procedure 302D.Should note Figure 16 and be not intended to limit the execution sequence of this UV cleaning procedure in a process,, and can not deviate from base region of the present invention before or after any one processing step because this cleaning procedure can carry out.In general, tend to after carrying out this UV cleaning procedure 302D, this base material is sent to or remains in vacuum or the inert environments, avoiding or to minimize the reciprocation of substrate surface and oxygen or other pollutant, on this surface that has purified, become to grow native oxide before the next base material treatment step or damage to prevent to carry out.Therefore, tend in the cluster tool that has low oxygen dividing potential drop or other pollutant, carry out this UV cleaning procedure usually.
In another embodiment, UV source radiation, substrate heater and clean air source are to be connected or to be included in one or more treatment chamber in this cluster tool (for example, treatment chamber 201-204), so can carry out this UV cleaning procedure in those chambers.In this configuration, can before carrying out depositing operation, in a treatment chamber, carry out this UV cleaning procedure earlier, independently transfer step A3 ' is (Figure 16) therefore not need one.In one embodiment, in pre-clean chamber shown in Figure 12 1100, add UV source radiation (not shown), to improve the process results of the pre-clean process of on this substrate surface, carrying out.
In one embodiment, after carrying out this UV cleaning procedure, on base material, (for example carry out one or more measuring process, preparation/analytical procedure 302A of 13-14 figure), to analyze the state in each district of base material, make this system controller can make corrective action with improve this UV cleaning procedure on follow-up base material effectiveness and/or improve the process results that one or more subsequent technique reaches.In general, this UV cleaning procedure variable can comprise the UV cleaning procedure time, be delivered to the UV power level and/or the base material temperature of substrate surface.
In another embodiment, after carrying out this UV cleaning procedure, carry out one or more measuring process (for example, preparation/analytical procedure 302A of 13-14 figure), then on this substrate surface, carry out one or more follow-up base material treatment step (for example, PVD, CVD or ALD deposition step).In this example, available those measuring processs come the state in a zone on this substrate surface of rapid analysis, one or more state-variable in one or more processing step in this process are adjusted the process results that reaches with improvement to allow this system controller.In general, those state-variables can comprise any UV cleaning procedure variable (for example, UV cleaning procedure time, UV come source power) or base material processing technique variable (for example, RF power, operation pressure, gas flow rate, thickness, deposition rate, base material temperature).In an example, use an XRD device to measure and feed back the membrane stress that is deposited on first substrate surface.Therefore, the scope if measured stress surpasss the expectation, this system controller can for example be adjusted the time of UV cleaning procedure to improve the substrate surface cleanliness factor and to reduce the stress that is formed on the sedimentary deposit on second base material.When deposited film characteristics (for example, stress/strain) was very responsive for the substrate surface state before carrying out depositing operation such as epitaxial deposition silicon layer for example, this technology was very important.
Measuring process is incorporated into allows in this cluster tool behind one or more processing step of process, feedback expection or unexpected process results are scrapped and the assembly variability with the auxiliary base material that reduces apace.The measuring process of the integration in the cluster tool also can be lost time in this cluster tool operational testing wafer or washer (dummy wafer) with the needs of one or more processing step of Pre-Evaluation by release, and improves the productivity of this cluster tool.In addition, use (for example is positioned at the vacuum of in check cluster tool or inert environments district, transit area 110) in, or one or more measurement chamber that is communicated with it can be avoided the reciprocation of substrate surface and oxygen or other pollutant and/or make it reduce to minimum, thereby with respect to need for the process of carrying out measuring process outside this controlled vacuum or the inert environments, providing quicker and real measurement result.Therefore tend to usually with this cluster tool be configured to make should (etc.) measure chamber and be connected with this cluster tool, make transfer step of these round those measurement chambers in the environment that has low oxygen partial pressure or low pollutant, to carry out.
UV assistant depositing technology
In one embodiment, a substrate processing chambers contains a UV source radiation, and it is suitable for, and (for example, the base material treatment step 304-306 of the 13rd, 14 and 16 figure) reduces the substrate process temperature during the base material treatment step.Along with characteristic size is reduced to 45 nanometers and lower, makes the needs that reduce the substrate process temperature become and become more and more important.Needing to reduce technological temperature, is in order to minimize or avoid material to spread the assembly yield issues that is caused mutually at each interlayer of formed assembly.Base material preparation process and base material manufacturing step all need lower technological temperature.Reduce the substrate process temperature and can improve the heat budget of the assembly that forms, thereby improve the assembly productive rate and the serviceable bife of the assembly that forms.Therefore tend to use and in the assembly manufacturing process, use one or more processing step with low technological temperature.
In order to realize this task, a substrate processing chambers (being called for short treatment chamber afterwards) is exposed to one or more surface of base material under the UV radiation during the step of carrying out this assembly manufacturing process.In use, this UV source radiation is suitable for transmitting enough energy to substrate surface, makes deposition or etch process occur in necessary energy on the substrate surface with minimizing.In general, salty letter with between about 120 to the wavelength between about 430 nanometers (nm) between about 5 to about 25mWatts/cm 2Power density under carry UV to be radiated to substrate surface source radiation can be used to auxiliary most known CVD or ALD technology.It should be noted that this UV radiation wavelength and the power that is transmitted may need to adjust to be applicable to specified temp, precursor and substrate combination.Can utilize the lamp supply that contains elements such as xenon, argon, krypton, nitrogen, chlorination xenon, KrF, argon fluoride for example radiation from this source radiation.Typical source radiation can be known UV lamp (for example, mercury vapor lamp) or other similar device.Also can use the combination of the UV source radiation that has different radiation wavelength.In one embodiment, the pressure limit during this technology is between about 0.1 to about 80Torr.
Figure 16 marks the concise and to the point cross sectional side view of an exemplary treatment chamber 1600, and it can be as one or more treatment chamber 201-204 in the cluster tool shown in Fig. 2-3 100.In one embodiment, as shown in figure 16, this deposition processes chamber comprises Stainless Steel cover structure 1601, and it covers the various functional units of this treatment chamber 1600.One quartz chamber 1630 comprises quartz chamber 1605 and following quartz chamber 1624, and wherein going up quartz chamber 1605 contains this UV source radiation 1608, and quartz chamber 1624 contains a state space 1618 down.Provide reactive species to state space 1618, and remove process byproducts from state space 1618.One base material 1614 is shelved on the pedestal 1617, and those reactive species are to be supplied on these base material 1614 surfaces 1616, and removes accessory substance from surface 1616 subsequently.Use infrared lamp 1610 to come heated substrate 1614 and state space 1618.Advance by the last quartz window 1604 of last quartz chamber 1605 from the radiation of infrared lamp 1610, and pass through the following quartzy part 1603 of quartz chamber 1624 down.One or more refrigerating gas that is used for quartz chamber 1,605 1611 enters and is left by outlet 1628 (1613) from entering the mouth.In treatment chamber is among the embodiment of CVD or ALD type treatment chamber, and the precursor of following quartz chamber and diluent, cleaning agent and emission gases are to see through inlet 1620 to enter and see through outlet 1638 and leave (1622).Those outlets 1628 are communicated with identical vacuum pump with 1638, or utilize different pumps to be controlled under the uniform pressure, make that the pressure of going up quartz chamber 1605 and following quartz chamber 1624 is equal.Therefore this UV radiation is to be used for quantizing the suction-operated of those reactive species, assisted reaction thing and to help surface 1616 desorptions of process byproducts from base material 1614.Illustration deposition chambers, UV cleaning procedure and the technology of utilizing UV assistant depositing technology to deposit the EPI film are to be further described in the U.S. patent application case the 10/866th of filing an application in 10 days June in 2004 of amortizing jointly, in No. 471, its this by reference its whole mode be incorporated herein.
In an example, be in treatment chamber 1600, under preferably about 400 ℃ temperature, to utilize disilane (Si 2H 6) add ammonia (NH 3) mixture come deposited silicon nitride (SiN) film, simultaneously with the wavelength and about 5 of about 172 nanometers to about 10mWatts/cm 2Between power density transmit the UV radiation.Usually, known silicon nitride deposition process needs about 650 ℃ or higher temperature.
In an embodiment of this cluster tool,, carry out one or more measuring process (for example, preparation/analytical procedure 302A of 13-14 figure) carrying out the auxiliary substrate process step (for example, deposition step) of one or more UV afterwards.In this example, can use those measuring processs to come rapid analysis to be deposited on the state of one or more rete on this substrate surface, to allow that this system controller adjusts for the state-variable of this substrate process step, to improve the technology that on this substrate surface, forms this layer.In general, those state-variables can comprise, for example UV radiation intensity (for example, power), sedimentation time, operation pressure, process gas flow rates, RF power, thickness or base material temperature.In an example, use the XRD device to measure and feed back the membrane stress that is deposited on first substrate surface, therefore this system controller UV power that can for example adjust subsequent deposition process utilizes the film characteristics of the formed sedimentary deposit of this UV assistant depositing technology, for example stress with improvement.The characteristic (for example, stress/strain) of depositional coating for the highstrung situation of the thermal environment during the depositing operation under, this technology is very important.To measure processing step is incorporated in this cluster tool, tolerable feeds back resulting expection or unexpected process results apace after one or more base material production craft step, therefore improve the assembly productive rate by the base material quantity that reduces improper processing, and lose time in this cluster tool by release and to use a plurality of test wafers to assess the needs of one or more processing step in this process in advance, improve the productivity of this cluster tool.
Though aforementioned is at a plurality of embodiment of the present invention, can design several other and further embodiment of the present invention under the base region of the present invention not deviating from, and its scope is by following claims decision.

Claims (35)

1. substrate material processing apparatus, it comprises:
One or more sidewall in order to form a transit area, is provided with a mechanical arm in this transit area;
One first supports chamber, is configured in this transit area and in order to measure the characteristic of this substrate surface;
One substrate processing chambers, it is communicated with this transit area; And
One pre-clean chamber, it is suitable for carrying out in this substrate processing chambers before the processing step, the surface of preparing a base material.
2. equipment as claimed in claim 1, wherein this transit area maintains between about 10-6 holder ear to the pressure between about 700 holder ears.
3. equipment as claimed in claim 1, wherein this first support chamber is suitable for utilizing XRD, XPS, reflectometer or oval measuring instrument technology to measure the characteristic of a substrate surface.
4. equipment as claimed in claim 1, wherein this substrate processing chambers is for dividing the plasma nitrided chamber of coupling formula, rapid thermal processing chamber, chemical vapor deposition chamber, ald chamber or physical vapor deposition chamber.
5. equipment as claimed in claim 1 more comprises one second and supports chamber, and it is used for removing pollutant from a substrate surface, wherein by removing this pollutant from being arranged on the source of one on this one or more sidewall transmission ultraviolet radiation to this substrate surface.
6. equipment as claimed in claim 1 wherein first supports that this substrate surface characteristic that records in chamber is to be selected from by stress, strain, the thickness of contained material in this zone and to become a characteristic in the group that branch forms at this.
7. substrate material processing apparatus, it comprises:
One or more sidewall, it forms a transit area, is provided with a mechanical arm in this transit area;
One or more substrate processing chambers, it is communicated with this transit area;
One supports chamber, and itself and this mechanical arm transmits interaction, and wherein this support chamber is suitable for measuring the characteristic of this substrate surface; And
One treatment chamber, it is communicated with this transit area, and wherein this treatment chamber comprises:
One substrate support is arranged in the treatment region of this treatment chamber; And
One first source radiation, its light to one that is suitable for transmitting one or more UV wavelength is positioned at a surface of the base material on this substrate support.
8. equipment as claimed in claim 7, wherein this transit area maintains between about 10-6 holder ear to the pressure between about 700 holder ears.
9. equipment as claimed in claim 7, wherein this one or more substrate processing chambers is the plasma nitrided chamber of branch coupling formula, rapid thermal processing chamber, chemical vapor deposition chamber or ald chamber.
10. equipment as claimed in claim 7, wherein this support chamber is suitable for utilizing XRD, XPS, reflectometer or oval measuring instrument technology to measure the characteristic of a substrate surface.
11. equipment as claimed in claim 7, more comprise one second and support chamber, be suitable for removing pollutant, wherein remove this pollutant by transmitting ultraviolet radiation to this substrate surface from one second source radiation that is connected with one of them person of this one or more sidewall from a substrate surface.
12. equipment as claimed in claim 7, wherein this first source radiation be suitable for between about 1 to about 25mWatts/cm 2Between power density transmit between about 120 nanometers one or more optical wavelength between about 430 nanometers.
13. equipment as claimed in claim 7, wherein this treatment chamber more comprises a gas source, and it is suitable for carrying a clean air to this treatment region, and wherein this clean air contains hydrogen.
14. equipment as claimed in claim 7 more comprises:
One wafer cassette is suitable for holding two or more base material;
One load locking room, it exchanges with this mechanical arm, and wherein this load locking room is suitable for being evacuated to pressure below atmospheric pressure; And
One second mechanical arm, it is suitable between this wafer cassette and this load locking room transmitting wherein one of this two or more base material of placing in this wafer cassette.
15. equipment as claimed in claim 7, this substrate surface characteristic that wherein records in this support chamber are to be selected from by stress, strain, the thickness of contained material in this zone and to become a characteristic in the group that branch forms.
16. a substrate material processing apparatus, it comprises:
One or more sidewall, it forms a transit area, is provided with a mechanical arm in this transit area;
One supports chamber, and it can transmit with this mechanical arm and exchange, and wherein this support chamber is suitable for measuring a characteristic of this substrate surface;
One first treatment chamber, it is communicated with this transit area, and wherein this first treatment chamber comprises:
One substrate support is arranged in the treatment region of this treatment chamber; And
One first source radiation, it is suitable for transmitting one or more UV optical wavelength to the substrate surface of position on this substrate support; And
One second treatment chamber, it is communicated with this transit area, and wherein this second treatment chamber comprises:
One substrate support is arranged in the treatment region of this treatment chamber;
One second source radiation, it is suitable for transmitting one or more UV optical wavelength to the substrate surface of position on this substrate support; And
One gas source, it is suitable for transmitting a clean air to this treatment region, and wherein this clean air contains hydrogen.
17. equipment as claimed in claim 16, wherein this transit area maintains the pressure between about 10-6 holder ear and about 700 holder ears.
18. equipment as claimed in claim 16, wherein this first treatment chamber is the plasma nitrided chamber of branch coupling formula, rapid thermal processing chamber, chemical vapor deposition chamber or ald chamber.
19. equipment as claimed in claim 16, wherein this support chamber is suitable for utilizing XRD, XPS, reflectometer or oval measuring instrument technology to measure the characteristic of a substrate surface.
20. equipment as claimed in claim 16, more comprise one second and support chamber, it is suitable for removing pollutant from a substrate surface, wherein removes this pollutant by transmitting ultraviolet radiation to this substrate surface from one second source radiation that is connected with one of them person of this one or more sidewall.
21. equipment as claimed in claim 16, wherein this first and second source radiation be suitable for between about 1 to about 25mWatts/cm 2Between power density transmit between about 120 nanometers to one or more optical wavelength between about 430 nanometers.
22. equipment as claimed in claim 16, wherein the characteristic of this substrate surface that records in this support chamber is the characteristic in stress, strain, thickness that is selected from contained material in this zone and the group that becomes the branch composition.
23. troop one and to form the method for semiconductor subassembly in the equipment for one kind, it comprises:
In a substrate processing chambers, revise the surface of a base material;
After revising this substrate surface, measure the characteristic in a zone of this base material;
More measured characteristic and be stored in numerical value in the system controller; And
Based on measured characteristic be stored in the comparison of the numerical value in this system controller, change the state-variable during the technology of this modification substrate surface.
24. method as claimed in claim 23, the step of the characteristic in wherein above-mentioned measurement one zone comprise and measure one and be selected from stress, strain, the thickness of contained material this zone in and become characteristic in the group of branch composition.
25. method as claimed in claim 23 more is included in and revises before this substrate surface this substrate surface of precleaning.
26. method as claimed in claim 23 more is included in before this module diagnostic of formation, removes pollutant from this substrate surface, the step of wherein removing pollutant comprises:
This substrate surface is exposed at least one wavelength under the radiation of about 120 nanometers between about 430 nanometers;
Provide one to contain the clean air of hydrogen to this substrate surface; And
Heat this base material to one and be lower than about 750 ℃ temperature.
27. method as claimed in claim 23, the step of wherein above-mentioned modification one substrate surface comprises: carry out a technology that is selected from the group that is made up of a minute coupling formula plasma nitridation process, epitaxial deposition technology, quick thermal treatment process, chemical vapor deposition method, atom layer deposition process and physical gas-phase deposition.
28. method as claimed in claim 27, the step of wherein above-mentioned modification one substrate surface more comprises: during revising this surperficial step, this substrate surface is exposed at least one wavelength is situated between in about 120 nanometers to the radiation between about 430 nanometers.
29. troop one and to form the method for semiconductor subassembly in the equipment for one kind, it comprises:
In a substrate processing chambers, revise the surface of a base material;
The mechanical arm that utilization is configured in the transit area is arranged at a base material in this transit area of this cluster tool;
Measurement is arranged on the characteristic of this substrate surface in this transit area;
More measured characteristic and the numerical value that is stored in the system controller; And
Based on measured characteristic be stored in the comparison of the numerical value in this system controller, adjust the state-variable in the technology of this modification substrate surface.
30. method as claimed in claim 29 more is included in and forms before the module diagnostic this substrate surface of precleaning.
31. method as claimed in claim 29, the step of the characteristic in wherein above-mentioned measurement one zone comprises: measure one and be selected from by stress, strain, the thickness of contained material in this zone and become characteristic in the group that branch forms.
32. method as claimed in claim 29 more is included in and forms before this module diagnostic, and this substrate surface is exposed under the ultraviolet radiation from a source radiation, to remove the pollutant of this substrate surface.
33. method as claimed in claim 29, the step of wherein above-mentioned modification one substrate surface: comprise and carry out a technology that is selected from the group that forms by a minute coupling formula plasma nitridation process, epitaxial deposition technology, quick thermal treatment process, chemical vapor deposition method, atom layer deposition process and physical gas-phase deposition.
34. method as claimed in claim 29 more is included in before this module diagnostic of formation, removes pollutant from this substrate surface, wherein this step of removing pollutant comprises:
This substrate surface is exposed at least one wavelength to be situated between in about 120 nanometers to the radiation between about 430 nanometers;
Provide one to contain the clean air of hydrogen to this substrate surface; And
Heat this base material to one and be lower than about 750 ℃ temperature.
35. method as claimed in claim 29, the step of wherein above-mentioned modification one substrate surface more comprises: during revising this surperficial treatment step, this substrate surface is exposed at least one wavelength is situated between in about 120 nanometers to the radiation between about 430 nanometers.
CNA2007800254044A 2006-07-03 2007-06-27 Cluster tool for advanced front-end processing Pending CN101484973A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US80651806P 2006-07-03 2006-07-03
US60/806,518 2006-07-03
US11/460,864 2006-07-28

Publications (1)

Publication Number Publication Date
CN101484973A true CN101484973A (en) 2009-07-15

Family

ID=40379089

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2007800254044A Pending CN101484973A (en) 2006-07-03 2007-06-27 Cluster tool for advanced front-end processing

Country Status (1)

Country Link
CN (1) CN101484973A (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102471884A (en) * 2009-08-13 2012-05-23 金南珍 Apparatus for forming layer
CN103828024A (en) * 2011-08-02 2014-05-28 株式会社Eugene科技 Equipment for manufacturing semiconductor for epitaxial process
CN104136850A (en) * 2011-12-30 2014-11-05 克利尔赛恩燃烧公司 Method and apparatus for enhancing flame radiation
CN104599999A (en) * 2013-10-30 2015-05-06 北京北方微电子基地设备工艺研究中心有限责任公司 Heating chamber
CN105428231A (en) * 2014-09-11 2016-03-23 朗姆研究公司 Dielectric repair for emerging memory device
CN105470169A (en) * 2015-11-20 2016-04-06 中国科学院微电子研究所 GaN device-oriented medium growth system and operation method thereof
CN105957824A (en) * 2016-06-30 2016-09-21 中国科学院微电子研究所 Gallium nitride device medium growth method and system
CN106548957A (en) * 2015-09-18 2017-03-29 中微半导体设备(上海)有限公司 A kind of processing chamber and substrate handling system
CN106548958A (en) * 2015-09-18 2017-03-29 中微半导体设备(上海)有限公司 It is a kind of to integrate multifunctional cavity and substrate handling system
CN107587189A (en) * 2017-09-26 2018-01-16 中国科学院长春光学精密机械与物理研究所 A kind of multi-chamber nitride material epitaxial system of integrated Technology for Heating Processing
CN108281414A (en) * 2018-01-17 2018-07-13 北京北方华创微电子装备有限公司 A kind of capacitance and preparation method thereof, semiconductor equipment
CN110739204A (en) * 2018-07-20 2020-01-31 Asm Ip 控股有限公司 Preclean for etching of dielectric materials
CN112106182A (en) * 2018-03-20 2020-12-18 东京毅力科创株式会社 Self-sensing corrective heterogeneous platform incorporating integrated semiconductor processing modules and methods of use thereof
CN112382592A (en) * 2020-11-18 2021-02-19 林和 Novel intelligent combined surface cleaning equipment and method
TWI731285B (en) * 2017-12-29 2021-06-21 大陸商中微半導體設備(上海)股份有限公司 Plasma processing system and execution method of plasma processing system
CN113463190A (en) * 2021-05-13 2021-10-01 顾赢速科技(合肥)有限公司 Epitaxial growth device
WO2023163861A1 (en) * 2022-02-28 2023-08-31 Lam Research Corporation Wafer bow compensation by patterned uv cure

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102471884A (en) * 2009-08-13 2012-05-23 金南珍 Apparatus for forming layer
CN103828024B (en) * 2011-08-02 2016-10-12 株式会社Eugene科技 Semiconductor manufacturing facility for epitaxy technique
CN103828024A (en) * 2011-08-02 2014-05-28 株式会社Eugene科技 Equipment for manufacturing semiconductor for epitaxial process
CN104136850A (en) * 2011-12-30 2014-11-05 克利尔赛恩燃烧公司 Method and apparatus for enhancing flame radiation
CN104136850B (en) * 2011-12-30 2016-09-28 克利尔赛恩燃烧公司 For the method and apparatus strengthening Fire Radiation
CN104599999A (en) * 2013-10-30 2015-05-06 北京北方微电子基地设备工艺研究中心有限责任公司 Heating chamber
CN105428231A (en) * 2014-09-11 2016-03-23 朗姆研究公司 Dielectric repair for emerging memory device
CN105428231B (en) * 2014-09-11 2019-06-14 朗姆研究公司 Dielectric reparation for emerging storage device
CN106548958B (en) * 2015-09-18 2020-09-04 中微半导体设备(上海)股份有限公司 Integrated multifunctional cavity and substrate processing system
CN106548958A (en) * 2015-09-18 2017-03-29 中微半导体设备(上海)有限公司 It is a kind of to integrate multifunctional cavity and substrate handling system
CN106548957A (en) * 2015-09-18 2017-03-29 中微半导体设备(上海)有限公司 A kind of processing chamber and substrate handling system
CN105470169A (en) * 2015-11-20 2016-04-06 中国科学院微电子研究所 GaN device-oriented medium growth system and operation method thereof
CN105957824A (en) * 2016-06-30 2016-09-21 中国科学院微电子研究所 Gallium nitride device medium growth method and system
CN107587189A (en) * 2017-09-26 2018-01-16 中国科学院长春光学精密机械与物理研究所 A kind of multi-chamber nitride material epitaxial system of integrated Technology for Heating Processing
TWI731285B (en) * 2017-12-29 2021-06-21 大陸商中微半導體設備(上海)股份有限公司 Plasma processing system and execution method of plasma processing system
CN108281414A (en) * 2018-01-17 2018-07-13 北京北方华创微电子装备有限公司 A kind of capacitance and preparation method thereof, semiconductor equipment
CN112106182A (en) * 2018-03-20 2020-12-18 东京毅力科创株式会社 Self-sensing corrective heterogeneous platform incorporating integrated semiconductor processing modules and methods of use thereof
CN110739204A (en) * 2018-07-20 2020-01-31 Asm Ip 控股有限公司 Preclean for etching of dielectric materials
CN110739204B (en) * 2018-07-20 2023-08-29 Asm Ip 控股有限公司 Pre-cleaning for etching of dielectric materials
CN112382592A (en) * 2020-11-18 2021-02-19 林和 Novel intelligent combined surface cleaning equipment and method
CN112382592B (en) * 2020-11-18 2021-10-22 林和 Intelligent combined surface cleaning equipment and method
CN113463190A (en) * 2021-05-13 2021-10-01 顾赢速科技(合肥)有限公司 Epitaxial growth device
WO2023163861A1 (en) * 2022-02-28 2023-08-31 Lam Research Corporation Wafer bow compensation by patterned uv cure

Similar Documents

Publication Publication Date Title
CN101484973A (en) Cluster tool for advanced front-end processing
TW200834778A (en) Integrated vacuum metrology for cluster tool
US7585686B2 (en) Method and apparatus for processing a wafer
US7159599B2 (en) Method and apparatus for processing a wafer
US20070134821A1 (en) Cluster tool for advanced front-end processing
CN101061253B (en) Substrate processing apparatus using a batch processing chamber
TWI687966B (en) Method of processing substrate and vacuum processing system and apparatus
WO2008005773A2 (en) Cluster tool for advanced front-end processing
TWI672077B (en) Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN100342500C (en) Method for forming dielectric film
US11335554B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20070020890A1 (en) Method and apparatus for semiconductor processing
JP2007533848A (en) Method for improving wafer-to-wafer uniformity and defect rate of deposited dielectric films
CN109891550A (en) Method using the precursor deposition based on halide without metal ALD silicon nitride film
US20020185067A1 (en) Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
KR20160084313A (en) Isotropic atomic layer etch for silicon and germanium oxides
US9885123B2 (en) Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
WO2007018139A1 (en) Method of manufacturing semiconductor device and substrate treating device
CN1445822A (en) Semiconductor manufacturing device, semiconductor manufacturing system and substrate processing method
US20200290095A1 (en) Method of forming process film
CN109585332A (en) Clean method, dry cleaning system and the non-transient computer readable media of chamber
JP3456933B2 (en) Semiconductor processing apparatus cleaning method and semiconductor processing apparatus
KR101416172B1 (en) Cleaning method for chamber of thin film deposition apparatus
JP2003158081A (en) Substrate processor
CN101341276A (en) Method and apparatus for semiconductor processing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20090715