CN101076881B - 使用激光退火进行固相外延再结晶 - Google Patents

使用激光退火进行固相外延再结晶 Download PDF

Info

Publication number
CN101076881B
CN101076881B CN2005800424050A CN200580042405A CN101076881B CN 101076881 B CN101076881 B CN 101076881B CN 2005800424050 A CN2005800424050 A CN 2005800424050A CN 200580042405 A CN200580042405 A CN 200580042405A CN 101076881 B CN101076881 B CN 101076881B
Authority
CN
China
Prior art keywords
extension area
dopant
drain electrode
channel region
laser
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2005800424050A
Other languages
English (en)
Other versions
CN101076881A (zh
Inventor
阿米塔比·贾殷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of CN101076881A publication Critical patent/CN101076881A/zh
Application granted granted Critical
Publication of CN101076881B publication Critical patent/CN101076881B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Abstract

本发明揭示用于为MOS型晶体管制作浅且陡梯度的漏极延伸区的方法(70),其中在半导体产品的制造中,使用激光SPER退火工艺在漏极延伸区内进行固相外延再结晶。一种方法(70)包括预先非晶化工艺(74),所述预先非晶化工艺(74)包括以下步骤:将诸如锗等重离子物质深深植入衬底的与所述衬底的沟道区邻接的延伸区内,以形成深的非晶区;然后将硼或另一种这样的掺杂物质植入所述衬底的与沟道区邻接的延伸区内。然后在低温下对所植入的掺杂剂进行预退火(78),以设定结深和掺杂浓度。随后使用激光在高温下对所述延伸区及/或深源极/漏极区进行退火(84),由此在贴近沟道区的区内提供固相外延再结晶,以便以陡梯度获得超高掺杂浓度和活化水平。

Description

使用激光退火进行固相外延再结晶
技术领域
概括地说,本发明涉及半导体装置,且更具体而言,涉及用于在半导体产品的制造中使用激光退火工艺对晶体管的源极和漏极延伸区进行固相外延再结晶的方法。
背景技术
在许多其中需要切换及/或放大功能的现代半导体产品中可发现MOS晶体管。人们已开发出许多用于在诸如硅等半导体衬底材料中制作MOS装置的制造工艺和技术。在近几年中,晶体管和其它组件的尺寸已不断减小至亚微米级,以便于在半导体产品中实现更高的装置密度。同时,许多新的应用已产生在更低的功率和电压电平下运行晶体管和其它半导体装置的需求。因此,尽管先前的MOSFET装置设计为在5伏或更高的电压上运行,而更新的应用可能需要这些装置使用自3伏左右向下直至大约1伏的DC电源运行。另外,MOS晶体管的切换速度要求继续增长,以便实现更快的和改善的产品性能。相应地,人们一直努力设计占据更小的物理空间、消耗更低的功率、且以更高的切换速度和更低的电压运行的半导体装置,如MOSFET晶体管。
MOS晶体管包括导电栅极,其覆盖在衬底的沟道区上,在所述栅极和所述沟道区之间带有薄的栅极电介质,其通常是氧化物。所述衬底的源极和漏极区(有时称为结区)在沟道的对置侧上掺杂有杂质,其中nMOS装置的源极/漏极区掺杂有n-型杂质(例如As、Sb、P等),且pMOS装置的源极/漏极区是使用p-型杂质(例如B、Ga、In等)进行掺杂。通常将覆盖在沟道上的栅极结构的长度称为物理沟道长度。通常使用离子植入系统将源极和漏极掺杂剂植入硅衬底内,其中所植入的离子的剂量和能量可根据所期望的掺杂剂浓度、深度和分布而变化。对于给定的半导体材料,离子剂量通常控制所植入的离子的浓度,且束离子的能级决定所植入离子的穿透距离或深度(例如结深)。
在植入之后,源极/漏极区内的掺杂剂原子在衬底晶格中占据节点间隙,且掺杂剂原子必须转移至取代位置以变为电活化。该过程有时被称为“活化”,且通过在诸如氩等惰性环境气体中进行高温退火来实现。所述活化退火过程也使所植入的掺杂物质在所述衬底中向下和横向扩散,其中有效沟道长度变得小于物理沟道长度。随着装置尺寸的不断缩小,物理和有效沟道长度不断按比例缩小,其中短沟道效应变得显著。
除短沟道效应之外,在短沟道装置中还会经历热载流子效应。例如,在MOS晶体管的饱和运行中,靠近漏极区和沟道区的侧面结形成电场。该场使沟道电子获得动能且变“热”。某些移动至漏极的所述热电子被注入贴近漏极结的薄的栅极介电材料中。所注入的热载流子本身通常会导致MOS装置运行参数出现不希望的降低,例如阈值电压的移位、跨导的改变、驱动电流改变/漏极电流交换、和装置不稳定性。
为克服沟道热载流子效应,通常在衬底中形成漏极延伸区,所述漏极延伸区以不同方式被称为例如双扩散漏极(DDD)、轻度掺杂漏极(LDD)、和中度掺杂漏极(MDD)。这些漏极延伸区将某些势能吸收到漏极内并使其远离漏极/沟道界面,由此减少沟道热载流子以及与此相关联的有害的性能降低。参照现有技术图1,其图解说明传统晶体管制作工艺2,其开始于4,其中在6中在衬底内形成隔离结构,且在8中形成栅极氧化物(例如栅极电介质)。在10中,将一多晶硅层沉积在所述栅极氧化物上,然后在12中对所述多晶硅层进行图案化,以形成多晶硅栅极结构。
在13中,可视情况在栅极结构的任何一侧形成偏移间隔层,以引导在14中执行的植入一其中对漏极延伸区进行植入。通常,在14中执行的LDD植入是浓度相当低的掺杂剂植入工艺,该工艺也可使用经图案化的栅极结构的边缘作为植入掩模,而对于MDD,则使用在13中提供的偏移间隔层可能有利。然后在18中沿所述栅极结构的侧壁形成间隔层,且在20中使用更高的掺杂剂浓度和掺杂能量进行第二次植入(例如有时称为“源极/漏极植入”),以形成源极/漏极结区。
然后在22中在大约1050℃下执行活化退火,以活化在漏极延伸区和源极/漏极区内所植入的掺杂剂,且使掺杂剂在硅内向下和横向扩散或迁移。由此在衬底中提供彼此之间部分搭接的漏极延伸区和源极/漏极区。通常,漏极延伸区向下延伸至一略浅的深度,且横向延伸至栅极结构或栅极结构之下,而更深的源极/漏极区在横向上与栅极相间隔(例如间隔大约侧壁间隔层的宽度)。然后,在24中对栅极和源极/漏极区进行硅化,且在26中执行后端互连处理,此后方法2结束于28。
作为在14和20中进行的两次植入的结果,穿过结自结的源极/漏极区至与沟道相邻接的漏极延伸区建立掺杂剂梯度,有时称为缓变结。漏极延伸区运行以在漏极结处呈现与饱和运行相关联的全部电压降的一实质部分,而经更重掺杂的源极/漏极区形成适用于增强的接触导电率的低电阻率区。进一步,源极/漏极剂量以更高的能量植入,以产生更深的源极/漏极结(深于延伸区)并由此提供更好的防结尖峰保护。
随着CMOS装置按比例缩小以支持未来的技术,按比例缩小源极/漏极延伸区的深度和梯度已变得日益成为问题。部分地作为其结果,轻度掺杂漏极(LDD)延伸区近来已让位于中度掺杂漏极(MDD)延伸区和高度掺杂漏极(HDD)延伸区,在中度掺杂漏极(MDD)延伸区和高度掺杂漏极(HDD)延伸区中,漏极延伸区的深度变得更小(例如更浅)。近来的趋势是朝向具有更低的薄膜电阻的更浅的结发展,其中减小薄膜电阻便于实现更高的驱动电流(例如改善的阈值电压晶体管性能)和更快的切换时间。更浅的结减小了短沟道效应,由此有利于在横向和纵向按比例缩放两方面将MOS晶体管按比例缩小至越来越小的尺寸的不断努力。
在上述漏极延伸区的植入之后,现有技术退火已在低温(例如<600℃)下执行相当长的一段时间,由此对晶体晶界造成显著的范围边界损坏。先前在传统设备中使用后续退火来退火消除这种损坏的尝试可导致分布劣化(例如损失掺杂剂梯度陡度)。
漏极延伸区内掺杂剂活化的程度在确定漏极延伸区的薄膜电阻时起作用,其中增大掺杂剂活化(例如降低薄膜电阻)起到降低源极—漏极路径的寄生电阻的作用。因此,需要能够在半导体装置的制造中便于实现改善的源极和漏极延伸区外延再结晶的MOS型晶体管制作技术。
发明内容
本发明涉及用于MOS晶体管制作的方法,根据所述方法可在nMOS和pMOS两种类型装置中获得改善的源极和漏极延伸区固相外延再结晶(SPER)。
在本发明的一个方面中,通过预先非晶化工艺将重离子物质(例如Ge、In、Sb、As、P、BF2、Si、Xe、Ar或另一种非晶化离子)深深植入衬底的与沟道区相邻接的漏极延伸区。如在本文中所使用,术语“漏极延伸区”包括与晶体管的漏极相关联的延伸区以及那些与晶体管的源极区相关联的延伸区。随后,通过掺杂剂植入工艺将掺杂物质—例如用于pMOS装置的硼—植入非晶化衬底(例如硅衬底)的与沟道区相邻接的漏极延伸区内。
然后,在漏极延伸区内的掺杂剂的低温(LT)预退火(Pre-SPER)工艺中,允许掺杂剂进行一定程度的扩散,以获得理想的或期望的深度、掺杂剂浓度、以及在沟道区下方的某种有利的横向扩散。该LT预退火工艺保持在低于硅衬底的再结晶温度的温度下,例如,低于大约600℃(例如大约550℃)。根据本发明的一个实例性方面,由于硼在非晶相硅中的扩散率的浓度增强性质,在这些植入和退火工艺中可增大结梯度。最后,激光SPER退火(例如超快速闪速退火,<1ms)在漏极延伸区仍然呈固相的情况下提供漏极延伸区的外延再结晶。
在本发明的一个方面中,可在漏极延伸区的植入和低温预退火之后或在深源极/漏极区的植入之后,立即使用激光SPER退火,以提供延伸区或这两个区、和深源极和漏极区的固相外延再结晶。不过,在这两种情况下,激光SPER退火均跟在预退火工艺之后,以平衡所期望的掺杂剂扩散深度和浓度水平。
在本发明的另一个方面中,可在漏极延伸区的植入和低温预退火之后和深源极/漏极区的植入之后立即使用激光SPER退火,以提供延伸区和深源极和漏极区的固相外延再结晶。
在本发明的又一个方面中,激光SPER退火在大约1350℃(例如1200-1400℃)或以下的温度下进行大约1毫秒。
在本发明的再一个方面中,深预先非晶化工艺可与掺杂剂植入工艺集成在一起。
例如,在一个pMOS实施方案中,植入掺杂物质,其中所述掺杂剂是B(硼)或BF2(二氟化硼)或另一种在非晶相中呈现增强的扩散性质的掺杂物质。
在—nMOS实施方案实例中,使用在非晶相中呈现增强的扩散性质的掺杂物质。
在过去,在低温(例如<600℃)下进行固相外延,由此造成显著的范围边界损坏,包括填隙Si原子的簇集。使用传统的退火设备来退火消除这种损坏的后续尝试已导致分布劣化,其中所述分布不如可在本发明中获得的分布陡。另外,已进一步发现本发明的某些方面可较之于现有方法(例如上文在现有技术图1中所图解说明的方法)在减小薄膜电阻方面提供显著的改善。此外,这些工艺可有利地在与沟道区相邻接的实质整个漏极延伸区内获得比某些现有技术更高的活化水平和掺杂浓度。
例如,可将源极/漏极区的形成集成至该工艺内,其中栅极侧壁间隔层在执行源极/漏极植入工艺(例如B)之前使用侧壁间隔层作为植入掩模形成。在所述可自由处理的间隔层方法的一个实例中,在深S/D区和多晶硅层形成之后,在向漏极延伸区内进行预先非晶化植入和掺杂剂植入之前将侧壁间隔层移除。该方案提供将深S/D区处理至额外的热循环(例如传统的退火)而不影响延伸区的机会。此后,执行LT预退火,随后是激光SPER退火工艺,以同时形成外延并活化源极/漏极区内的掺杂剂以及漏极延伸区内的掺杂物质。
在另一个实施方案中,在预先非晶化和将掺杂物质植入漏极延伸区之前,形成侧壁间隔层、执行源极/漏极植入、并移除侧壁间隔层。在该实施方案中,可使用预退火工艺,以在源极/漏极区和漏极延伸区内同时提供所期望的掺杂剂扩散度,此后执行激光SPER退火工艺
附图说明
图1为流程图,其图解说明用于制作具有漏极延伸区的MOS晶体管的传统制造工艺;
图2为现有技术MOS晶体管的局部剖面侧视图,其图解说明源极区/漏极区分布并进一步详细说明利用半导体衬底形成的漏极延伸区或“尾部”;
图3A-3D提供流程图,其图解说明根据本发明的一个或多个方面在晶片内在MOS晶体管中制作漏极延伸区的实例性方法;
图4和图5为流程图,其图解说明根据本发明的一个或多个方面在晶片内在MOS晶体管中制作漏极延伸区的实例性方法;
图6A-6F为局部侧视剖视立面图,其图解说明在形成经图案化的栅极结构、偏移间隔层、和覆盖在栅极氧化物和衬底上的侧壁间隔层之后,处于处理过程中间阶段的实例性pMOS晶体管;
图6G为局部侧视剖视立面图,其图解说明在图6F的晶体管的源极和漏极延伸区内使用锗进行的预先非晶化和硼的植入;
图6H为局部侧视剖视立面图,其图解说明根据本发明的一个方面使图6A-6G的装置经受可选的低温预退火(SPER),其中非晶状态在漏极延伸区内弛豫但不再结晶;
图6I为局部侧视剖视立面图,其图解说明根据本发明的一个方面在更高的温度下使图6A-6H的装置经受另一次可选的低温预退火(SPER),其中获得最终的掺杂浓度,且其中掺杂剂轻度扩散,以在不发生再结晶的情况下获得最终的深度;
图6J为局部侧视剖视立面图,其图解说明在图6I的晶体管内进行的漏极延伸区的激光SPER退火,由此在漏极延伸区仍然呈固相的情况下提供漏极延伸区的外延再结晶;
图6K为局部侧视剖视立面图,其图解说明根据本发明在栅极结构和源极/漏极区的两侧形成偏移间隔层、且随后进行漏极延伸区和深源极/漏极区的可选激光SPER退火之后的图6J的晶体管。
具体实施方式
现在将参照附图来描述本发明,其中自始至终使用相同的参考编号来指代相同的元件。本发明提供用于在晶体管装置的制造中形成漏极延伸区的新工艺,其中在漏极延伸区中产生深的预先非晶化区,在所述预先非晶化区内植入在非晶相中呈现增强的扩散性质的掺杂剂。然后对所述掺杂剂进行以下操作:预退火,以设定结深;以及激光退火,以便于实现更高的活化水平和陡梯度分布,以获得浅结深。在下文中,在利用B或BF2漏极延伸区掺杂剂植入和退火制作pMOS晶体管的上下文中图解说明和描述实例性实施方案,其中所图解说明的结构不一定按比例绘制。然而,人们将理解,在使用在非晶相中呈现增强的扩散性质的适当掺杂剂在nMOS晶体管中形成漏极延伸区、以及制作除本文所图解说明的实例性结构以外的其它装置的过程中,本发明可单独或组合使用。对以下图式的描述将使上述以及其它好处变得更加明显。
如上文所示,在CMOS装置按比例缩小以支持未来的技术的同时,按比例缩小漏极延伸区的深度和梯度已变得日益成为问题。从用于在半导体装置中形成晶体管源极/漏极延伸区的现有技术方法来看,这些问题和困难中的某一些将变得更加明显。
例如,图2图解说明利用漏极延伸区或“尾部”的传统MOS晶体管的分布以及与晶体管的按比例缩小相关联的一个或多个问题。MOS晶体管30包括具有沟道长度32a的栅极结构32、形成为覆盖在栅极氧化物层34上的多晶硅材料层33,所述栅极氧化物层34形成为覆盖在半导体衬底35之上,其中源极/漏极区36存在于沟道区37的任一侧上。在传统的MOS晶体管30中,通常利用植入在栅极结构旁形成具有深度38a的深源极/漏极结38。S/D区36具有深度为41的漏极延伸区或“尾部”40,漏极延伸区或“尾部”40延伸至栅极32和栅极沟道区37内且位于栅极32和栅极沟道区37以下。经放大的详图42进一步图解说明围绕漏极延伸尾部40的区域,以及所述漏极延伸区较之于不具有该漏极延伸区尾部特征40的晶体管缩短有效沟道长度的方式。
在图2的传统MOS晶体管30的制作工艺中,在衬底35中形成隔离结构(未示出),且形成栅极氧化物34(例如栅极电介质)。多晶硅层33沉积在栅极氧化物34上,且然后经图案化以形成多晶硅栅极结构32。随后,进行LDD植入,其中使用例如砷对漏极延伸区40进行植入。需要注意的是,这种方法通常仅限于没有偏移或侧壁间隔层的LDD植入的低剂量水平。
由于较之于MOS晶体管30的沟道长度32a和多个其它特征,漏极延伸区深度41相对小,因此漏极延伸区深度41趋向于变成更难以与晶体管30的剩余部分一起按比例缩小的特征。进一步,就掺杂剂梯度的分布而言,期望使掺杂剂梯度或向下贯穿漏极延伸区深度的掺杂剂浓度改变率变的越来越锐或陡,以跟上晶体管的按比例缩小的步伐。
本发明的发明人已意识到,漏极延伸区内的半导体处理的目标面对两个对立的目标;形成尽可能浅的高梯度(陡)掺杂剂分布延伸区,且部分由于以上所述的原因,同时允许靠近且略微在沟道区之下实现充分的掺杂剂扩散。尽管传统的热退火慢,但是其足以在植入之后提供所需的掺杂剂的扩散。然而,在一个实例中,在随后使用普通的MDD和HDD材料进行深源极/漏极植入之后,期望进行快速的或闪速的退火,以停止或阻止进一步的、不必要的扩散降低掺杂剂分布的“陡峭度”或陡度。通常需要仔细的时间和温度研究,以保持工艺结果的控制。
适用于半导体退火目的的激光的近期发展已提供了另一种解决方案,其中期望进行超快速退火,然而同时带来了另一个问题。激光退火能够达到例如大约1100℃至1400℃的范围内的超高温(UHT),由此在大约1毫秒(1ms)或更短的时间内完成退火过程。在由激光产生的这些温度下,在硅衬底仍然呈固相或SPER的同时,在硅衬底中发生外延再结晶。然而,在漏极延伸区的情况下,这些激光温度和时间所带来的问题是在那一毫秒内,延伸区内的扩散实质上停止。因此,这样的UHT激光退火趋向于不利地限制暴露在激光下的那些区内的掺杂剂扩散。
鉴于这些看起来对立的目标和问题,提出本发明。本发明的一个方面提供用于在晶片内制作一个或多个MOS晶体管的方法,该方法包括将诸如Ge(锗)、In、Sb、As、P、BF2等重离子物质或中性离子物质或另一种非晶化离子深深地植入衬底的与沟道区相邻接的漏极延伸区内,以使用预先非晶化工艺在硅内形成深非晶化区。然后使用掺杂剂植入工艺在非晶化硅内植入诸如用于pMOS装置的B(硼)或BF2(二氟化硼)等掺杂物质或另一种在非晶相中呈现增强的扩散性质的掺杂物质。
然后使用LT预退火工艺在低温(LT)下对植入漏极延伸区内的掺杂剂进行预退火(Pre-SPER),以允许某种向下扩散以获得理想的掺杂剂浓度和深度,并在横向上实现沟道区下面的某种有利扩散。LT预退火工艺保持在低于硅衬底的再结晶温度的温度下,例如,低于大约600℃(例如大约550℃)。最后,激光SPER退火(例如超快速闪速退火,<1ms)提供漏极延伸区的固相外延再结晶(SPER)。
在图3A至图3D中图解说明一种这样的方法70,其呈现根据本发明的多个方面用于制作MOS晶体管的方法的多种变化。尽管在下文中将实例性方法70图解说明和描述为一系列的动作或事件,然而将理解,本发明不受这些动作或事件的所图解说明的顺序的限制。在这一点上,根据本发明,某些动作可以不同的顺序发生及/或与本文中所图解说明及/或描述的那些动作或事件之外的其它动作或事件同时发生。另外,根据本发明,实施一种方法可能不需要所图解说明的所有步骤。进一步注意,可结合本文所图解说明和描述的晶片和晶体管装置以及结合未图解说明的其它设备和结构来实施根据本发明的方法。
方法70包括使用激光退火工艺进行的实例性固相外延,所述激光退火工艺可用于在晶片中制作一个或多个MOS晶体管,所述方法开始于71。在图3B的72中可提供MOS晶体管例如来接收外延生长和退火。所述晶体管可具有预先以偏移间隔层沿栅极的侧面形成且覆盖在硅衬底上的栅极结构。
返回至图3A,单独地或组合在一个步骤中(如在74中所示),使用例如MDD材料层对漏极延伸区进行预先非晶化和植入。例如,预先非晶化可通过诸如Ge(锗)、In、Sb、As、P、BF2等重离子物质或中性离子物质或另一种非晶化离子的离子植入来实现。使用充足的能量将预先非晶化工艺的非晶化离子深深地植入衬底的与沟道区相邻接的漏极延伸区内,以在硅中形成深非晶化区。在74中的预先非晶化重离子植入包括例如大约5E13至5E15Ge/cm2的植入剂量,例如大约8E13至5E15Ge/cm2,较佳为大约2E14Ge/cm。此外,在74中的重离子漏极延伸区植入使用例如大约50keV至大约100keV的植入能量,例如大约45-80keV,较佳为大约70keV。
在74中,还(在随后或与预先非晶化步骤同时)使用掺杂剂植入工艺在非晶化硅的漏极延伸区内植入例如用于pMOS装置的B(硼)或BF2(二氟化硼)等掺杂物质或另一种在非晶相中呈现增强的扩散性质的掺杂物质。在74中的掺杂剂植入包括例如大约5E13至8E15BF2/cm2的植入剂量,例如大约1E14至4E15BF2/cm2,较佳为大约1.4E15BF2/cm2。此外,在74中的漏极延伸区植入使用例如大约0.5keV至大约10keV的植入能量,例如大约1-5keV,较佳为大约3keV。如果使用硼,则剂量可为大约1E14至大约4E15B/cm2,且植入能量例如大约为0.2keV至大约2keV。
本发明的发明人已意识到,在扩散率方面,硼或BF2及其它这样的掺杂物质具有特殊的性质。在植入非晶硅以后,硼呈现扩散增强性质。具体而言,已观察到B或BF2具有浓度增强的扩散性质,其中硼在非晶硅中的扩散是掺杂剂浓度、梯度、和B或BF2的基本扩散率的函数。已观察到,硼的掺杂剂浓度的增加在硼的扩散中产生对应的增加,这可有助于增加可在漏极延伸区中获得的梯度分布。因此,至少在pMOS装置的情况下,该增强的扩散性质允许使用B或BF2来形成适用于具有更低薄膜电阻的超薄漏极延伸区的超高掺杂漏极(UHDD)区。
在植入之后,B或BF2原子在漏极延伸区(例如以及在源极/漏极区内)内在衬底晶格中占据节点间隙,且然后通过下文所述的退火被活化。
视情况,在图3C的76中,可然后在低温(LT)下对植入漏极延伸区内的掺杂剂(例如硼)进行预退火,以在硅中提供非晶状态的某种程度的弛豫。这种可选的或第一次LT预退火保持在低于硅衬底的再结晶温度的温度下-例如在大约400℃至大约600℃(例如较佳为大约350℃至大约475℃之间)大约2秒至大约2小时,且较佳为大约一小时。该可选的或第一次LT预退火工艺用于提供硼的更高的有效浓度,此会进一步降低延伸区的薄膜电阻。
在图3A的78中,然后在低温下对植入漏极延伸区内的掺杂剂(例如硼)进行预退火(Pre-SPER),以使用LT预退火工艺(或在使用可选的第一次LT预退火情况下使用第二次LT预退火)允许某种向下扩散以获得理想的掺杂剂浓度和深度、以及在沟道区以下实现某种横向扩散。这种LT预退火(或第二次LT预退火)保持在低于硅衬底再结晶温度的温度下(例如在大约500-600℃下)大约30分钟或更短的时间。
最后,在图3A的84中的激光SPER退火(例如超快速闪速退火,<1ms)提供漏极延伸区的固相外延再结晶(SPER)。例如,激光SPER退火在介于大约1200℃至大约1400℃、-较佳在大约1300℃至大约1350℃之间的温度下进行大约1ms或更短的时间。
视情况,在图3D的81中,可在84的激光SPER退火之前,在82中在栅极结构的两侧形成侧壁(S/W)间隔层,以帮助在83中进行的深源极和漏极(S/D)区的植入。这使得延伸区和深S/D区的退火可同时进行,这可能是人们所期望的。
最终,实例性方法70结束于88,且随后可提供传统的后端处理。
图4和图5图解说明分别使用激光退火方法90和95的固相外延的其它变化,方法90和95与图3A-3D的方法70类似,且因此为简洁起见不需要再完全描述一遍。相应地,方法90和95图解说明预先非晶化和植入工艺74、LT预退火工艺78和激光SPER退火84与各种其它步骤及/或附加激光SPER退火步骤相结合在实例性处理实施方案中的使用。
例如,图4图解说明在利用图3A-3D的集合动作的较佳排序实施方案中,根据本发明的一个或多个方面,在晶片中的MOS晶体管内制作漏极延伸区的实例性方法90。方法90将LT预退火工艺图解说明为分别包括第一次及第二次预退火76和78。方法90进一步图解说明最后的激光SPER退火84同时对漏极区和深源极/漏极区进行退火,以提供与传统工艺方法的最小不同。尽管同时执行退火工艺以活化源极/漏极区内以及漏极延伸区内的所植入掺杂剂并对所述掺杂剂进行退火,然而其中可对源极/漏极区执行单独退火的其它工艺也涵盖于本发明的范围内。
在84处的所述激光SPER退火工艺可例如在诸如N(氖)及/或Ar(氩)等惰性环境中在峰值温度下执行大约5ms或更短的时间,例如大约1ms,尽管可使用任何适当的时间—温度退火工艺特性。
图5进一步图解说明根据本发明在MOS晶体管中制作漏极延伸区的另一种实例性方法95,所述方法95与图4中的方法相似。方法95图解说明图4的所有步骤,并添加了可选的第一次和第二次激光SPER退火—分别为80和84。第二次激光SPER退火84的使用同前,而第一次激光SPER退火80用于在对深源极和漏极区进行植入之前在漏极延伸区内执行外延再生长或再结晶。尽管这可能看起来为不必要的额外激光退火,但是其可能有利于例如在通过所图解说明的或未图解说明的步骤完成其它中间工艺的同时,使局部化的扩散停止。
注意,LT预退火78也会引起所植入的B或BF2在衬底中的向下或横向扩散,其中有效沟道长度变得短于物理沟道长度。因此,在所图解说明的实例中,在78中的退火之后漏极延伸区可在栅极结构下横向延伸并向下延伸至最终的漏极延伸区结深。
人们将理解,所图解说明的实例以及根据本发明的其它实例的植入和退火可提供定型的掺杂剂浓度,其中峰值浓度出现在特定的深度,且其中在漏极延伸区内的其它深度处发现较低的浓度。因此,峰值浓度深度可以但并非必需与在漏极延伸区内植入/扩散的掺杂剂的最大深度一致。进一步,尽管本发明的各种实施方案提供不同掺杂物质在漏极延伸区内的大体相同的浓度,但是这些浓度可均得到定型,使峰值浓度位于其中的不同深度处,如下文参照图6所进一步图解说明和描述。
现在参照图6A-6K,其图解说明实例性pMOS晶体管102接受根据本发明的半导体制作处理,其中装置102不一定按比例绘制。在图6A中,将晶体管装置102图解说明为处于半导体装置的制作过程的中间阶段,其中MOS晶体管102已形成于半导体衬底104—例如硅或硅—锗之上或之中。
首先在图6A中,MOS晶体管102包括构成栅极堆叠105的多层材料,所述栅极堆叠105形成于半导体衬底104之上。栅极堆叠105的实例性层包括:形成于半导体衬底104之上的栅极氧化物材料层106、形成于栅极氧化物材料层106之上的多晶硅材料层108、及硬掩模层110—其包括例如形成为覆盖在多晶硅材料层108和栅极氧化物材料层106之上的氮氧化物材料。
在图6B中,使用光阻剂及各向异性蚀刻剂111将硬掩模层110图案化至栅极堆叠105内。
在图6C中,所述硬掩模层110现在作为图案来用于通过执行蚀刻113进一步形成栅极堆叠105,由于蚀刻113对硅的选择性,蚀刻113穿透多晶硅材料层108并部分地进入栅极氧化物层106。随后,移除硬掩模层110。
在图6D中,包括例如氧化物材料的偏移间隔层112形成为覆盖在栅极堆叠105和覆盖在半导体衬底104之上的剩余栅极氧化物层106之上。在图6E中,执行各向异性蚀刻114来移除偏移间隔层112的氧化物材料的某些部分,以分别暴露出栅极氧化物层106和半导体衬底104。图6F图解说明图6E的各向异性蚀刻114所得出的偏移间隔层112的最终形状以及形成为覆盖在半导体衬底104之上的栅极结构116的最终形状。图6F还显示偏移间隔层112形成至112′的深度,以帮助遮罩随后的延伸区和深S/D区掺杂剂植入。
因此,到此为止,提供覆盖在衬底104之上的栅极结构116,其中MOS晶体管102的漏极延伸区和深源极/漏极区可通过大体根据上文所图解说明和描述的实例性方法70(动作72)进行的植入来形成。此后,在图6G-6K中,大体根据同样在上文进行图解说明和描述的实例性方法70(操作74-88)形成MOS晶体管102。
在图6G中,在半导体衬底104上沿MOS晶体管102的栅极结构116侧面执行预先非晶化和掺杂植入124。尽管在掺杂植入之前对预先非晶化植入进行论述,但是可首先完成这两种中的任何一种植入,其中在本发明中,植入可同时或按顺序进行。根据本发明的一个方面,工艺124的预先非晶化植入部分包括植入诸如Ge、In、Sb、As、P、BF2、Si、Xe、Ar等重离子物质或另一种非晶离子。预先非晶化植入124用于在衬底104的一个或多个漏极延伸区143内形成深度至142′的深非晶化区142。
在一个实例中,在预先非晶化工艺124中,将重离子物质深深植入延伸区包括大约5E13至5E15Ge/cm2(例如大约8E13至5E15Ge/cm2)的植入剂量,较佳为大约2E14Ge/cm2。此外,重离子漏极延伸区植入124使用例如大约50keV至大约100keV(例如大约45-80keV)的植入能量,较佳为大约70keV。
植入工艺124的掺杂剂植入部分包括在漏极延伸区143中植入相对浅的一层例如用于pMOS装置的诸如B(硼)或BF2(二氟化硼)等掺杂物质或另一种在非晶相中呈现增强的扩散性质的掺杂物质。在一个实例中,124的实例性掺杂剂植入工艺部分包括大约5E13至8E15BF2/cm2(例如大约1E14 to 4E15BF2/cm2)的植入剂量,较佳为大约1.4E15BF2/cm2。此外,漏极延伸区143使用例如大约0.5keV至大约10keV(例如大约1-5keV)的植入能量,较佳为大约3keV。如果使用硼,则剂量可为大约1E14至大约4E15B/cm2,且植入能量可为例如大约0.2keV至大约2keV。其它植入浓度、深度、梯度和半导体装置类型也涵盖在本发明的范围内。偏移间隔层112引导植入124,以将所述植入与栅极堆叠105间隔厚度112′。
在图6H中,在预先非晶化和掺杂植入124之后,可在深非晶化区142上进行可选的低温(LT)预退火152。该可选的LT预退火152提供高至足以使硅的非晶状态在某种程度上弛豫的温度,但是仍保持在足够低的温度和时间乘积下,以阻止硅发生再结晶。在一个实例中,LT预退火152在例如大约400-450℃的温度下进行大约30分钟。其它提供非晶状态弛豫而不发生再结晶的可选LT预退火温度和时间也涵盖在本发明的范围以内。
在图6I中,在足够高的温度下对漏极延伸区内的所植入掺杂剂(例如在pMOS晶体管情况下为B、BF2或其它物质)进行低温(LT)预退火153(或Pre-SPER),以允许在某种程度上向下扩散以获得理想的掺杂剂浓度和深度、以及在沟道区以下获得某种横向扩散。该低温预退火进一步提供掺杂剂扩散而不降低梯度分布。该LT预退火工艺保持在低于硅衬底的再结晶温度—例如介于大约400℃至600℃(例如较佳为介于大约450℃至大约550℃之间)—的温度下大约2秒至大约2小时,且较佳为大约半小时。能提供充足的扩散浓度和深度而不发生再结晶的其它LT预退火温度和时间也涵盖在本发明的范围以内。
最后,在图6J中,利用激光的激光SPER退火155提供漏极延伸区143的固相外延再结晶(SPER)。理想地,漏极延伸区143在激光SPER退火155中以足够快的速度升高,以使SPER退火在介于大约1200℃至大约1400℃、较佳为大约1350℃的超高温度水平下持续1ms或更短的时间。
此后,视情况在如图6K所图解说明的随后的传统后端处理和图5-6的方法步骤之后,可进行激光SPER退火155及/或与退火155类似的附加SPER退火156。例如,可沿栅极结构116侧面形成侧壁间隔层158,以引导在晶体管102的衬底104内进行的深源极/漏极区160的植入。此后,可视情况进行激光SPER退火155及/或附加激光SPER退火156,以同时提供漏极延伸区143和深S/D区160二者的固相外延再结晶(SPER)。此后,其它传统的后端处理可包括硅化物和互连处理(未示出)。
通过这种方式,将漏极延伸区143在仍然在薄的、高浓度漏极延伸区层143内呈固相的情况下退火至结晶状态,薄的、高浓度漏极延伸区层143是以高活化水平形成,但是仍拥有所期望的掺杂剂扩散水平。进一步,这种更高的活化水平和固相外延可消除低温最终退火工艺的范围边界损坏特性及/或分布劣化。
分别使用重离子和硼掺杂物质实例的预先非晶化和掺杂剂植入、预退火、和激光SPER退火,本发明在漏极延伸区内提供较之于与传统技术更高水平的掺杂剂浓度及对应的薄膜电阻降低。
人们将注意到,上文所图解说明和描述的装置102以及方法70、90、和95为实例性,且根据本发明的精神和范围,可对各个工艺步骤进行多种其它排序。此外,如上文所指出,本发明不限于pMOS装置的制作,且也可结合nMOS晶体管的制作实施。另外,本发明亦可与存储装置的制造相结合地进行应用,其中本发明的各个方面可应用于存储单元和类似装置的制作中。尽管已根据一个或多个实施方案对本发明进行了图解说明和描述,在阅读和理解本详细说明和所附图式之后,所属领域的技术人员将会发现等同的改变和修改。具体就由上述组件(组合件、装置、电路、系统等)所执行的各种功能而言,除非另有指示,否则用于描述上述组件的词语(包括对“构件”的引用)意指执行所描述的组件的所详述功能的任何组件(例如功能上等同的组件),即使其在结构上与所揭示的、在本文中所图解说明的本发明的实例性实施方案中执行该功能的结构不等同。另外,尽管可能只根据多种实施方案中的一种对本发明的某种特征进行了揭示,但是该特征可与其它实施方案的一个或多个其它特征组合,这对于任何给定的或特定的应用可能是合乎要求和有利的。另外,在实施方式及/或权利要求书中使用词语“包括(including)”、“包括(includes)”、“具有(having)”、“具有(has)”、“带有(with)”、或其变体的条件下,上述词语以类似于词语“包括(comprising)”的方式表示包含。

Claims (10)

1.一种在MOS晶体管内制作漏极延伸区的方法,其包括:
将重离子物质植入衬底的与所述衬底的沟道区相邻接的延伸区内,以形成非晶区;
将掺杂物质植入所述衬底的与所述沟道区相邻接的所述延伸区内;
使用低温预退火工艺在低于所述衬底的再结晶温度的温度下对所述延伸区内的所述植入掺杂物质进行退火,以设定结深和掺杂浓度;及
使用激光对所述延伸区在1200-1400℃进行1ms或更短的退火,以活化大致上整个所述漏极延伸区中的所述掺杂物质。
2.如权利要求1所述的方法,其进一步包括在形成所述非晶区之前在所述衬底的沟道区上方形成栅极结构。
3.如权利要求2所述的方法,其进一步包括:
在所述低温预退火工艺之后在所述衬底的沟道区上方围绕栅极结构形成侧壁间隔层;及
在所述激光退火工艺之前对贴近所述延伸区的深源极和漏极区进行植入。
4.如权利要求1或2所述的方法,其中所述重离子物质包括Ge、In、Sb、As、P、BF2、Si、Xe、Ar中的一者。
5.如权利要求1或2所述的方法,其中所述掺杂物质包括硼或另一种在硅的非晶相中呈现增强的扩散性质的掺杂物质中的一者。
6.如权利要求1或2所述的方法,其中所述低温预退火工艺包括:
第一次低温退火,其在450℃至550℃下进行30分钟或以下,以弛豫所述衬底的非晶状态;
第二次低温退火,其在高于所述第一次低温退火但低于所述衬底的再结晶温度的温度下进行。
7.如权利要求6所述的方法,其中所述第二次低温退火的温度为600℃或以下和500℃或以上,进行30分钟或以下。
8.如权利要求1或2所述的方法,其中所述低温预退火工艺提供充足的时间和低于所述衬底的再结晶温度的温度,以在邻接所述MOS晶体管的沟道区且在所述MOS晶体管的沟道区之下提供扩散性。
9.如权利要求1或2所述的方法,其中所述激光退火工艺是在1350℃或以下进行1ms。
10.如权利要求1所述的方法,其进一步包括:
在所述激光退火工艺之后,在所述衬底的所述沟道区上方围绕栅极结构形成侧壁间隔层;
对深源极和漏极区进行植入;及
使用第二次激光退火工艺利用激光对所述延伸区和所述深源极和漏极区进行退火,以活化贴近所述沟道区的所述漏极延伸区和所述深源极和漏极区内的所述掺杂物质。
CN2005800424050A 2004-10-25 2005-10-19 使用激光退火进行固相外延再结晶 Active CN101076881B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/972,872 US7118980B2 (en) 2004-10-25 2004-10-25 Solid phase epitaxy recrystallization by laser annealing
US10/972,872 2004-10-25
PCT/US2005/038235 WO2006047442A1 (en) 2004-10-25 2005-10-19 Solid phase epitaxy recrystallization by laser annealing

Publications (2)

Publication Number Publication Date
CN101076881A CN101076881A (zh) 2007-11-21
CN101076881B true CN101076881B (zh) 2011-01-12

Family

ID=36206693

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800424050A Active CN101076881B (zh) 2004-10-25 2005-10-19 使用激光退火进行固相外延再结晶

Country Status (4)

Country Link
US (1) US7118980B2 (zh)
JP (1) JP2008518483A (zh)
CN (1) CN101076881B (zh)
WO (1) WO2006047442A1 (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7491586B2 (en) * 2001-03-22 2009-02-17 T-Ram Semiconductor, Inc. Semiconductor device with leakage implant and method of fabrication
TWI237857B (en) * 2004-10-21 2005-08-11 Nanya Technology Corp Method of fabricating MOS transistor by millisecond anneal
DE102006019921B4 (de) * 2006-04-28 2010-10-28 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung des Transistors mit eingebetteter Schicht mit Zugverformung mit geringem Abstand zu der Gateelektrode
US20070293012A1 (en) * 2006-06-14 2007-12-20 Amitabh Jain Reduction of slip and plastic deformations during annealing by the use of ultra-fast thermal spikes
JP2008041988A (ja) * 2006-08-08 2008-02-21 Hiroshima Univ ゲルマニウム(Ge)半導体デバイス製造方法。
US7790587B2 (en) * 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
US7629275B2 (en) * 2007-01-25 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-time flash anneal process
US7410876B1 (en) 2007-04-05 2008-08-12 Freescale Semiconductor, Inc. Methodology to reduce SOI floating-body effect
DE102007020260B4 (de) * 2007-04-30 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Transistoreigenschaften von Feldeffekttransistoren durch eine späte tiefe Implantation in Verbindung mit einem diffusionsfreien Ausheizprozess
US7846803B2 (en) 2007-05-31 2010-12-07 Freescale Semiconductor, Inc. Multiple millisecond anneals for semiconductor device fabrication
US7615458B2 (en) 2007-06-19 2009-11-10 Texas Instruments Incorporated Activation of CMOS source/drain extensions by ultra-high temperature anneals
WO2010036621A2 (en) * 2008-09-25 2010-04-01 Applied Materials, Inc. Defect-free junction formation using octadecaborane self-amorphizing implants
US20100078727A1 (en) * 2008-10-01 2010-04-01 Min Byoung W eFuse and Resistor Structures and Method for Forming Same in Active Region
US20100140768A1 (en) * 2008-12-10 2010-06-10 Zafiropoulo Arthur W Systems and processes for forming three-dimensional circuits
CN101814456B (zh) * 2009-02-23 2013-04-24 台湾积体电路制造股份有限公司 集成电路装置及其形成方法
CN101894748B (zh) * 2009-05-22 2011-11-23 中芯国际集成电路制造(北京)有限公司 离子注入的方法
US20110070724A1 (en) * 2009-09-21 2011-03-24 Applied Materials, Inc. Defect-free junction formation using octadecaborane self-amorphizing implants
KR101206500B1 (ko) * 2010-02-26 2012-11-29 에스케이하이닉스 주식회사 반도체 장치의 트랜지스터 제조 방법
CN102693917B (zh) * 2011-03-25 2015-07-08 中国科学院微电子研究所 热稳定性镍基硅化物源漏mosfets及其制造方法
US8486778B2 (en) 2011-07-15 2013-07-16 International Business Machines Corporation Low resistance source and drain extensions for ETSOI
US8723266B2 (en) * 2011-12-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Pinch-off control of gate edge dislocation
CN103377933B (zh) * 2012-04-23 2015-12-16 中芯国际集成电路制造(上海)有限公司 Mos晶体管的制造方法
CN103377935B (zh) * 2012-04-23 2016-08-03 中芯国际集成电路制造(上海)有限公司 Mos晶体管的制造方法
CN102779739B (zh) * 2012-07-12 2015-04-15 清华大学 功率半导体器件背面制造工艺
US8546203B1 (en) 2012-07-17 2013-10-01 International Business Machines Corporation Semiconductor structure having NFET extension last implants
US8673699B2 (en) 2012-07-17 2014-03-18 International Business Machines Corporation Semiconductor structure having NFET extension last implants
CN103594350B (zh) * 2013-10-22 2016-04-06 溧阳市东大技术转移中心有限公司 一种减小界面层生长的方法
US9337316B2 (en) * 2014-05-05 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for FinFET device
US10141308B2 (en) 2017-03-10 2018-11-27 International Business Machines Corporation Low resistance source/drain contacts for complementary metal oxide semiconductor (CMOS) devices
US10658510B2 (en) * 2018-06-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
US11450571B2 (en) * 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US20220319909A1 (en) * 2021-04-01 2022-10-06 Nanya Technology Corporation Method for manufacturing a semiconductor memory device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1185022A (zh) * 1996-12-10 1998-06-17 联华电子股份有限公司 改善自动对准硅化物性质的制造方法
US6300208B1 (en) * 2000-02-16 2001-10-09 Ultratech Stepper, Inc. Methods for annealing an integrated device using a radiant energy absorber layer
US6391731B1 (en) * 2001-02-15 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Activating source and drain junctions and extensions using a single laser anneal

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1185022A (zh) * 1996-12-10 1998-06-17 联华电子股份有限公司 改善自动对准硅化物性质的制造方法
US6300208B1 (en) * 2000-02-16 2001-10-09 Ultratech Stepper, Inc. Methods for annealing an integrated device using a radiant energy absorber layer
US6391731B1 (en) * 2001-02-15 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Activating source and drain junctions and extensions using a single laser anneal

Also Published As

Publication number Publication date
CN101076881A (zh) 2007-11-21
WO2006047442A1 (en) 2006-05-04
US20060088969A1 (en) 2006-04-27
US7118980B2 (en) 2006-10-10
JP2008518483A (ja) 2008-05-29

Similar Documents

Publication Publication Date Title
CN101076881B (zh) 使用激光退火进行固相外延再结晶
US8187959B2 (en) Semiconductor substrate with solid phase epitaxial regrowth with reduced junction leakage and method of producing same
US5970353A (en) Reduced channel length lightly doped drain transistor using a sub-amorphous large tilt angle implant to provide enhanced lateral diffusion
CN1885557B (zh) 半导体元件及形成半导体元件的方法
DE102006019921B4 (de) Verfahren zur Herstellung des Transistors mit eingebetteter Schicht mit Zugverformung mit geringem Abstand zu der Gateelektrode
US5278441A (en) Method for fabricating a semiconductor transistor and structure thereof
US6008099A (en) Fabrication process employing a single dopant implant for formation of a drain extension region and a drain region of an LDD MOSFET using enhanced lateral diffusion
US20030207542A1 (en) Fabrication of abrupt ultra-shallow junctions using angled pai and fluorine implant
JPH08330587A (ja) 集積回路を製造するための方法、ならびにチャネル領域からおよびフィールド領域から隣接するソースおよびドレイン領域へのボロンの拡散および偏析を補充するための方法
US6063682A (en) Ultra-shallow p-type junction having reduced sheet resistance and method for producing shallow junctions
US6399452B1 (en) Method of fabricating transistors with low thermal budget
US9837323B2 (en) Semiconductor structure and fabrication method thereof
CN1945801B (zh) 半导体器件的制造方法
US7615458B2 (en) Activation of CMOS source/drain extensions by ultra-high temperature anneals
US9881841B2 (en) Methods for fabricating integrated circuits with improved implantation processes
US7348229B2 (en) Method of manufacturing a semiconductor device and semiconductor device obtained with such a method
US8664073B2 (en) Method for fabricating field-effect transistor
Severi et al. Enhanced activation of standard and cocktail spike annealed junctions with additional sub-melt laser anneal
CN101777496B (zh) nMOS晶体管的制造方法
JP2001156293A (ja) 半導体装置の製造方法
KR100604537B1 (ko) 반도체 소자의 제조 방법
JPH0878671A (ja) 半導体装置の製造方法
CN101271837B (zh) 半导体器件的制造方法及半导体器件
Pawlak et al. Junction Architectures for Planar Devices
JP2000232221A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant