CN100524778C - 利用双掩埋氧化物绝缘体上硅晶片的嵌入硅锗及其形成方法 - Google Patents

利用双掩埋氧化物绝缘体上硅晶片的嵌入硅锗及其形成方法 Download PDF

Info

Publication number
CN100524778C
CN100524778C CNB2006100764970A CN200610076497A CN100524778C CN 100524778 C CN100524778 C CN 100524778C CN B2006100764970 A CNB2006100764970 A CN B2006100764970A CN 200610076497 A CN200610076497 A CN 200610076497A CN 100524778 C CN100524778 C CN 100524778C
Authority
CN
China
Prior art keywords
silicon
buried oxide
effect transistor
type field
silicon layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2006100764970A
Other languages
English (en)
Other versions
CN1862822A (zh
Inventor
陈华杰
D·奇丹巴尔拉奥
D·J·斯凯皮斯
H·K·乌托莫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1862822A publication Critical patent/CN1862822A/zh
Application granted granted Critical
Publication of CN100524778C publication Critical patent/CN100524778C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明公开了p型场效应晶体管(pFET)结构和形成pFET的方法。pFET包括在源极/漏极区域中嵌入的硅锗以增加p沟道上的纵向应力,并且从而增强晶体管的性能。通过增加源极/漏极区域的深度并且从而增加嵌入硅锗的体积,实现应力的增加。通过使用双BOX SOI晶片可以实现更深(例如,高达100nm)的应力硅锗源极/漏极区域。蚀刻沟槽穿过第一硅层和第一掩埋氧化物层,然后从第二硅层外延生长应力硅锗。第二掩埋氧化物层隔离pFET。

Description

利用双掩埋氧化物绝缘体上硅晶片的嵌入硅锗及其形成方法
技术领域
本发明涉及p型场效应晶体管以及利用双掩埋氧化物绝缘体上硅晶片形成晶体管的方法,所述晶片允许源极/漏极区域中的应变硅锗的厚生长以在沟道区域上产生纵向应力。
背景技术
关于Murthy的美国专利6,621,131(下面称为“Murthy”)公开了满足他们旨在的目的的实施例。在本发明中通过参考整体结合Murthy的公开,用于包括而不是限制,以说明本发明的背景技术并说明现有技术的状态。已经证实在硅沟道中的应变可以显著影响互补金属氧化物半导体(CMOS)晶体管的载流子的迁移率。公知沿沟道的压缩纵向应力有助于PFET(P型场效应晶体管)驱动电流,而降低NFET(N型场效应晶体管)的性能。有很多提议分别利用拉伸和压缩纵向应力提高NFET和PFET的器件性能,其包括利用掩模分别对于两个MOSFET(金属氧化物半导体场效应晶体管),调整中间制程(middle of line,MOL)氮化物衬里和隔离物固有应力以及STI(浅沟槽隔离)材料改变。通过任何这些方法加强的沟道中的应力通常为几百MPa。
另一个方法是使用硅锗基应变硅衬底,其中使用硅锗作为整个衬底的一部分。当在“驰豫”硅锗层上外延生长硅(Si)时,在Si中导致拉伸应变并且因此提高电子迁移率。然而,这项技术需要驰豫硅锗,其在体系统中需要非常厚的硅锗层(即0.5-1微米)。在体系统中,硅锗通过形成致密的网状失配位错驰豫。公知这些位错引起主要产量问题。在这种方法中很难提高空穴的迁移率,因为在驰豫硅锗膜中需要非常大的锗百分率,其引起更大的产量和位错问题。在绝缘体上硅锗(SGOI)系统中,锗在绝缘体上硅(SOI)晶片上生长然后与硅热混合以在掩埋氧化物(BOX)衬底上提供“驰豫”硅锗。在绝缘体上硅锗上,外延生长Si以获得拉伸膜。不幸地,在SGOI系统中,当硅锗驰豫时,位错(另外,层错)形成,伴随产量减少。进一步,该工艺的成本也是昂贵的。使用一些技术例如降低锗浓度和化学机械抛光(CMP)以提高膜的质量,但通常,该工艺面临高密度的缺陷和昂贵的成本。
发明内容
本发明提供了p型场效应晶体管结构和形成该结构的方法。利用双掩埋氧化物(BOX)绝缘体上硅衬底形成p型场效应晶体管。双BOX SOI衬底包括第一硅层,在所述第一硅层下的第一掩埋氧化物层,在所述第一掩埋氧化物层下的第二硅层,以及在第二硅层下衬底上的第二掩埋氧化物层。具体地,p型场效应晶体管包括第一硅层的n掺杂硅部分上的栅极叠层。p掺杂源极/漏极区域位于与所述栅极叠层相邻并且限定所述栅极叠层正下的p沟道区域。源极/漏极区域包括应变硅锗并且延伸穿过第一硅层的n掺杂部分和穿过第一掩埋氧化物层至第二硅层。源极/漏极区域的应变硅锗在沟道上施加纵向应力。源极/漏极区域的硅锗也在晶片的上表面上延伸以进一步增加在沟道区域上施加的纵向应力。第二硅层下的第二掩埋氧化物层隔离晶体管并且增加在沟道区域上施加的纵向应力。所述应变硅锗中的锗浓度在约10%和50%之间。使用硼或其他类似杂质原位(in-situ)掺杂所述应变硅锗,以使源极/漏极区域包括p型场效应晶体管所需的p掺杂硅。通过所述应变硅锗在所述沟道区域上施加的所述纵向应力可以大于约350兆帕斯卡(MPa)。
在另一个实施例中,所述结构包括与n型场效应晶体管相邻的在相同晶片上形成的上述相同p型场效应晶体管。n型场效应晶体管包括第一硅层的p掺杂部分上的栅极叠层。n掺杂源极/漏极区域位于与栅极叠层相邻的p掺杂部分中并限定在栅极叠层正下的n沟道区域。隔离区域位于p型场效应晶体管和n型场效应晶体管之间并且延伸至第二掩埋氧化物层以隔离p型场效应晶体管与n型场效应晶体管。形成本发明的p型场效应晶体管的方法包括提供双BOX SOI晶片。在第一硅层中形成n掺杂部分以及在n掺杂部分上形成用于p型场效应晶体管的栅极叠层。在栅极叠层上形成临时侧壁隔离物。然后,在栅极叠层上的侧壁隔离物的任何一侧、在晶片中光刻构图沟槽。然后,通过首先在第一硅层中选择性蚀刻凹槽,蚀刻沟槽穿过至第二硅层,以暴露所述第一掩埋氧化物层。然后,选择性蚀刻另一个凹槽穿过第一掩埋氧化物层以暴露第二硅层。为了在第一硅层中在沟槽之间的沟道区域上施加纵向应力,在沟槽中形成应变硅锗。通过从形成沟槽时暴露的第二硅层外延生长应变硅锗,在沟槽中形成应变硅锗。为了进一步增加沟道区域上的纵向应力,在晶片的上表面上生长应变硅锗。在外延生长工艺期间也可以用硼原位掺杂应变硅锗,以使源极/漏极区域包括用于p型场效应晶体管所需的p掺杂硅。除去临时侧壁隔离物并且继续附加工艺直到完成p型场效应晶体管(例如,源极/漏极延伸注入,在栅极叠层上形成永久侧壁隔离物,在源极/漏极区域和栅极叠层上形成硅化物,注入退火等)。
在相同晶片上形成本发明的p型场效应晶体管和n型场效应晶体管的方法也包括首先提供双BOX SOI晶片。在晶片的第一硅层中形成用于p型场效应晶体管的n掺杂硅部分和用于n型场效应晶体管的p掺杂硅部分。在p型场效应晶体管区域和n型场效应晶体管区域之间的晶片中形成隔离结构。隔离结构延伸至第二掩埋氧化物层并将隔离p型场效应晶体管和n型场效应晶体管。在用于p型场效应晶体管的n掺杂硅部分上和用于n型场效应晶体管的p掺杂硅部分上形成相应栅极叠层(例,第一栅极叠层和第二栅极叠层)。掩蔽n型场效应晶体管区域并且在第一栅极叠层上形成临时侧壁隔离物。在第一栅极叠层上的侧壁隔离物的任何一侧、在晶片中光刻构图沟槽。然后,通过首先在第一硅层中选择性蚀刻凹槽,蚀刻沟槽穿过至第二硅层,以暴露所述第一掩埋氧化物层。然后,选择性蚀刻另一个凹槽穿过第一掩埋氧化物层以暴露第二硅层。为了在第一硅层中在沟槽之间的沟道区域上施加纵向应力,在沟槽中形成应变硅锗。通过从形成沟槽时暴露的第二硅层外延生长应变硅锗,在沟槽中形成应变硅锗。为了进一步增加在沟道区域上的纵向应力,在第一硅层的上表面上生长应变硅锗。在外延生长工艺期间也可以用硼原位掺杂应变硅锗,以使源极/漏极区域包括用于p型场效应晶体管所需的p掺杂硅。除去临时侧壁隔离物,并且继续附加工艺直到完成p型场效应晶体管。例如,注入用于p型场效应晶体管的源极/漏极延伸。在注入用于p型场效应晶体管的源极/漏极延伸之后,未掩蔽n型场效应晶体管区域而掩蔽p型场效应晶体管区域,从而注入用于n型场效应晶体管的源极/漏极区域和延伸。在注入源极/漏极区域和延伸之后,形成栅极叠层侧壁隔离物和硅化物。结合下面的描述和附图可以更好地理解本发明的这些和其它方面和目的。然而,应该理解,下面的描述,尽管给出了本发明的实施例及其具体细节,但只是为了说明而不是限制。只要不脱离本发明的精神,在本发明的范围内可以进行许多改变和修改,并且本发明包括所有这些修改。
附图说明
通过参考附图的如下详细描述将更好地理解本发明,其中:
图1是本发明的结构实施例的示意图;
图2是对于SOI晶片在eSiGe中的应力的曲线示意图;
图3是对于双BOX SOI晶片在eSiGe中的应力的曲线示意图;
图4是本发明的方法实施例的示意流程图;
图5是本发明的另一个方法实施例的示意流程图;
图6是本发明的部分完整结构的示意图;
图7是本发明的部分完整结构的示意图;
图8是本发明的部分完整结构的示意图;
图9是本发明的部分完整结构的示意图;
图10是本发明的部分完整结构的示意图;以及
图11是本发明的部分完整结构的示意图。
具体实施方式
通过参考结合附图说明的非限制性实施例和如下详细描述充分说明本发明及其各种特征和有利细节。应该注意,附图中示出的特征没有按比例绘制。省略公知成分和处理技术的描述以不使本发明晦涩。这里使用的实例仅旨在有助于理解的实现本发明的方法,并进一步使本领域的技术人员实现本发明。因此,实例不应该认为限制本发明的范围。
如上所述,在硅沟道中的应变可以显著影响CMOS晶体管的载流子的迁移率。公知沿沟道的压缩纵向应力有助于PFET(P型场效应晶体管)驱动电流。公知用于PFET的源极/漏极区域中的嵌入硅锗增强PFET性能。具体地,在PFET的源极/漏极区域中的Si上外延生长的硅锗自对准到Si并且引起自身严重的压缩,从而引起在栅极正下方的沟道中的大的纵向压缩。在沟道中的这种大的纵向压缩提高了PFET的迁移率。增加应力量的一种方法是通过增加在源极/漏极区域中的嵌入硅锗的体积。在单BOX SOI晶片中硅的厚度限制了用于嵌入硅锗的可能厚度。最近,晶片上的PFET的源极/漏极区域上的硅锗轻微增加应力但是没有明显增加产量。本发明提供了用于通过增加PFET的源极/漏极区域中的嵌入硅锗的体积提高沿沟道的应力的结构和方法。对于相同百分数的锗、宽度和长度,通过更深地蚀刻进入衬底,获得更大的体积。参考图1,在一个实施例中,本发明提供了p型场效应晶体管结构150。利用双掩埋氧化物(BOX)绝缘体上硅(SOI)衬底101形成p型场效应晶体管结构150。双BOX SOI衬底101包括第一硅层106,在第一硅层106之下的第一掩埋氧化物层105,在第一掩埋氧化物层105之下的第二硅层104,以及在第二硅层104之下衬底102上的第二掩埋氧化物层103。具体地,p型场效应晶体管150包括第一硅层106的n掺杂部分154上的栅极叠层151。栅极叠层151包括栅极导体157之下的栅极介质152。在栅极导体157上可以形成硅化物199。p掺杂源极/漏极区域160a、160b以及与它们相应的p掺杂源极/漏极延伸155a、155b位于与栅极叠层151相邻。在源极/漏极延伸155a、155b之间的栅极叠层151正下方的n掺杂部分154的上薄层形成沟道区域153。源极/漏极区域160a、160b包括应变硅锗并延伸通过第一硅层106的n掺杂部分154以及通过第一掩埋氧化物层105至第二硅层104。源极/漏极区域160a、160b的应变硅锗将纵向应力施加在沟道区域153上。源极/漏极区域160a、160b的硅锗也可以在晶片101的上表面81上延伸以进一步增加沟道区域上的纵向应力。应力硅锗源极/漏极区域160a、160b的厚度可以约为10nm至100nm,并且厚度优选在20nm至30nm之间。在第二硅层104之下的第二掩埋氧化物层103隔离晶体管并且增加在沟道区域153上施加的纵向应力。在源极/漏极区域160a、160b的应变硅锗中的锗的浓度在约10%和50%之间。应变硅锗利用硼或其他类似杂质原位掺杂,从而源极/漏极区域包括p型场效应晶体管150所需的p掺杂硅。通过应变硅锗在沟道区域上施加的纵向应力可以大于约350兆帕斯卡(MPa)。
利用SOI技术(双BOX SOI 101)的两层绝缘体,通过更深地凹入衬底101可以获得较高的应变。图2是在利用单掩埋氧化物绝缘体上硅晶片形成的p型场效应晶体管的源极/漏极区域中的外延生长硅锗中的应力的曲线图。图3是在利用双掩埋氧化物(BOX)绝缘体上硅(SOI)晶片101形成的本发明的p型场效应晶体管150的源极/漏极区域中的外延生长硅锗中的应力的类似曲线图。双BOX SOI晶片101允许源极/漏极区域160a、160b比常规单BOX SOI晶片更厚。源极/漏极区域160a、160b的加厚增加了应力硅锗的体积以及在p沟道153上的应力。在图2和3中示出的应力模拟说明了双BOX SOI的应力比单BOX SOI的显著增加。与单BOXSOI的典型的300兆帕斯卡(MPa)范围相比,双BOX SOI的应力水平在600兆帕斯卡(MPa)范围内。这样应力增加了100%。从而,代替在单BOX SOI中利用外延生长硅锗形成p型场效应晶体管的源极/漏极区域可以获得的15%的产量增加,利用本发明的p型场效应晶体管可以获得另一15%的产量增加,总计增加30%。该增加源自双BOX层103和105。尽管利用体外延生长硅锗允许p型场效应晶体管的源极/漏极区域一样厚,但是本发明的产量增加优于利用体外延生长硅锗获得的产量增加,因为较大的应力转移发生在上沟道区域,由于第一BOX层105更易受影响。该大应力转移也使沟道中的应力更加均匀。仍参考图1,在另一个实施例中,晶体管结构100包括如上所述的p型场效应晶体管150以及n型场效应晶体管170。n型场效应晶体管包括在第一硅层106的p掺杂部分174上的栅极叠层(即第二栅极叠层171)。n掺杂源极/漏极区域180a、180b,以及n掺杂源极/漏极延伸175a、175b位于与第二栅极叠层171相邻的p掺杂部分174中。在源极/漏极延伸175a、175b之间和第二栅极叠层171正下方的p掺杂部分174的上薄层形成n沟道区域173。隔离区域107位于p型场效应晶体管150与n型场效应晶体管170之间。隔离区域107延伸至第二掩埋氧化物层103并且因此隔离p型场效应晶体管150与n型场效应晶体管170。
参考图4,形成本发明的p型场效应晶体管150的方法包括提供双BOXSOI晶片(402,如图6)。双BOX SOI晶片101包括第一硅层106、在第一硅层106之下的第一掩埋氧化物层105、在第一掩埋氧化物层105之下的第二硅层104、以及在第二硅层104之下在衬底102上的第二掩埋氧化物层103。通过各种公知的技术,如通过Unibond“Smart Cut”TM方法、接合和回蚀刻绝缘体上硅(BESOI)方法或者注氧隔离(SIMOX)方法,形成本发明的双BOX SOI晶片101。这些方法在Srikrishhnan的1999年3月3日公开的美国专利No.5,882,987和Liao的2002年4月2日公开的美国专利No.6,365,488中进行了描述,二者通过参考结合在这里。SmartCutTM方法和BESOI方法通过将两个绝缘体上硅晶片接合在一起形成结构。可选地,可以穿过单BOX SOI晶片的第一绝缘层105注入第二绝缘层103,如Assaderaghi等人的2002年8月13公开的美国专利No.6,432,754所述。掩埋氧化物层103、105和硅层106、104的厚度依赖于衬底和技术,从而应力硅锗源极/漏极区域160a、160b的深度也依赖于衬底和技术(如下所述)。
仍参考图4,在第一硅层106中形成n掺杂部分154(例如,用n型掺杂剂如磷、砷或锑掺杂)并且在n掺杂部分154上形成用于p型场效应晶体管150的栅极叠层151(404,见图7)。栅极叠层151通过公知的工艺步骤形成。形成的栅极叠层151包括栅极介质152,栅极介质152上的栅极导体157,栅极导体157的上部中的pEFT预掺杂剂53,以及栅极导体157上的绝缘体54。在栅极叠层151上形成临时侧壁隔离物61(见图8)。在侧壁隔离物61的任意一侧上,将沟槽光刻构图到晶片101中(406)。然后,将沟槽71a、71b蚀刻穿过晶片101至第二硅层104(408,见图9)。通过利用双BOX SOI晶片101,利用更好控制的两个步骤进行在工艺408中的凹槽蚀刻。首先,利用第一掩埋氧化物层105作为停止层,将凹槽选择性地蚀刻(例如通过反应离子蚀刻)穿过第一硅层106。然后,利用第二硅层104作为停止层,将另一凹槽选择性地蚀刻(例如通过反应离子蚀刻)穿过第一掩埋氧化物层105。
为在沟槽71a和71b中分别形成应力硅锗源极/漏极区域160a、160b,在沟槽71a和71b中形成应变硅锗(410,见图10)。具体地,通过从当在工艺408中形成沟槽71a和71b时暴露的第二硅层104外延生长应变硅锗,在沟槽71a和71b中形成应变硅锗。应力硅锗源极/漏极区域160a、160b适于在沟槽71a和71b之间的n掺杂部分154的顶层中将要形成的沟道区域153上施加应力。代替硅锗,公知的或将来开发的任何应变产生材料都可以用于本发明,并且仅采用硅锗作为实例。这可以在多个步骤中或连续实施。在这样的水平之上,即在由源极/漏极区域160a、160b的部分161a、161b指示的晶片101的上表面81之上,生长硅锗源极/漏极区域160a、160b。在晶片的上表面81之上生长硅锗源极/漏极区域160a、160b进一步增加源极/漏极区域160a、160b的体积,从而增加施加在沟道区域153上的应力。外延生长图10中的硅锗的工艺410包括选择性外延工艺,其在第二硅层104的暴露硅表面上生长硅锗,但不在介质层例如氮化物或氧化物上生长硅。同样,外延工艺401可以在存在适当的掺杂剂杂质的情况下(例如硼的原位掺杂)实施,例如这样生长硅锗,在其中包括掺杂剂,而在随后的工艺中不需要注入附加的掺杂剂。代替硼,任何公知的或将来开发的适当的杂质都可以用于本发明,并且这里仅采用硼作为实例。因此,硅锗源极/漏极区域160a、160b称为原位掺杂的源极/漏极区域。在硅锗膜中锗的浓度为10-50%,并更精确为15-30%。在硅锗中硼掺杂水平可以大于1×1020/cm3
如本领域的技术人员所理解,当选择锗浓度和厚度以使所述膜在外延温度和随后的工艺步骤中不驰豫时,外延生长的硅锗对于硅衬底是假晶并且因此是压缩应变的。在延伸和源极/漏极中的该压缩应变硅锗施加纵向应力到沟道区域。如上所述,通过给沟道区域153施加应变,充分地改善了p型场效应晶体管150的性能。进一步,通过利用双BOX SOI 101,增加沟槽71a、71b的深度大于单BOX SOI晶片的硅层的厚度,最大化施加到沟道区域153的应力从而最大化p型场效应晶体管150的性能。
在工艺410中形成源极/漏极区域160a、160b之后,从栅极叠层151除去临时侧壁隔离物61(例如,如果侧壁隔离物61是氧化物通过HF蚀刻),并且进行附加的公知工艺步骤直到完成p型场效应晶体管(见图1)。例如,源极/漏极区域160a、160b掺杂剂(如硼)注入(如果在工艺410中没有进行原位掺杂)和/或源极/漏极延伸155a、155b掺杂剂(如硼)注入(412,见图11),在栅极叠层151上形成永久侧壁隔离物156(414),在源极/漏极区域160a、160b和栅极叠层151上形成硅化物199(416),注入退火(418),形成金属接触和互连等(见图1)。
参考图5,本发明的另一个方法实施例是形成p型场效应晶体管150和n型场效应晶体管170以在相同晶片101上形成晶体管结构100的方法。方法包括首先提供双BOX SOI晶片101(502,见图6和以上描述)。利用公知的工艺步骤,在晶片101的第一硅层106中形成p型场效应晶体管150的n掺杂硅部分154(如,用n型掺杂剂如磷、锑或砷掺杂)和相应的第一栅极叠层151,以及n型场效应晶体管170的p掺杂硅部分174(如,用p型掺杂剂如硼掺杂)和相应的第二栅极叠层171(504,见图7)。如上形成第一栅极叠层151。形成这样的第二栅极叠层171,它包括栅极介质172,栅极介质172上的栅极导体177,栅极导体177顶部中的nFET预掺杂剂51以及栅极导体177上的绝缘体52。在晶片101中,在p型场效应晶体区域150a和n型场效应晶体区域170a之间形成隔离结构107。隔离结构107延伸至第二掩埋氧化物层103,并且隔离p型场效应晶体管150与n型场效应晶体管170。例如,通过光刻图形沟槽,蚀刻沟槽至第二掩埋氧化物层103并且用氧化物填充沟槽,形成隔离结构107。
掩蔽n型场效应晶体管区域170a以及隔离结构107(如通过掩模60),并且在第一栅极叠层151上形成临时侧壁隔离物61。在晶片101中在栅极叠层151的侧壁隔离物61的任意一侧光刻构图p型场效应晶体管的源极/漏极区域160a、160b的沟槽71a、71b(506)。然后进行形成p型场效应晶体管150的工艺,如上所述。利用两步工艺蚀刻沟槽71a、71b至第二硅层104(508,见图9和以上描述)。在沟槽71a、71b中生长应变硅锗并且利用硼原位掺杂以形成p型场效应晶体管150的应变硅锗源极/漏极区域160a、160b(510,见图10和以上描述)。在工艺510中形成硅锗源极/漏极区域160a、160b之后,除去在第一栅极叠层151上的临时侧壁隔离物61。另外,进行公知工艺步骤直到完成p型场效应晶体管150和n型场效应晶体管170。例如,用p型掺杂剂(如用硼)注入p型场效应晶体管150的源极/漏极区域160a、160b(如果未掺杂)和源极/漏极延伸区域155a、155b。在工艺512中注入p型场效应晶体管150的源极/漏极延伸区域155a、155b注入后,未掩蔽n型场效应晶体管区域170a并且掩蔽p型场效应晶体管区域150a,从而用n型掺杂剂(如磷、锑、砷等)注入n型场效应晶体管170的源极/漏极区域180a、180b和延伸175a、175b(514,见图1)。在进行源极/漏极区域180a、180b和延伸175a、175b的注入之后,接着在第一和第二栅极叠层151、171上形成侧壁隔离物156、176(516,见图1),形成硅化物(518,见图1的硅化物199),注入退火(520),形成金属接触和互连等。
因而,单独的p型场效应晶体管结构150,或与n型场效应晶体管170结合形成的晶体管结构100,通过嵌入p型场效应晶体管150(即,pFET)的深源极/漏极区域160a、160b的硅锗,提高了p沟道153上的应力。通过利用双BOX SOI晶片101实现更深的应力硅锗源极/漏极区域160a、160b。利用双BOX SOI晶片101用于嵌入硅锗应用,有许多的优点。因为nFET和pFET的有源区域通过额外的掩埋氧化物(第二BOX层)103(和隔离区域107)隔离,具有额外的窗口更深地凹入第二硅层104。这将增加硅锗源极/漏极区域160a、160b的体积从而增加p沟道区域153上的应力。与对于相同深度的体硅衬底相比,利用双BOX SOI晶片101可以实现更均匀的应力和更高的应力以及SOI技术的其它益处。产生本征或原位掺杂B嵌入硅锗的现有集成方法进行适当的修改可以用于沟槽71a、71b中的硅层104。外延工艺很容易集成到当前的制造工艺中并且与驰豫硅锗上的应变硅方法相比成本更低。此外,不像利用体衬底的方法,本发明的方法不依赖于失配位错来驰豫任何应力。而是,在本发明的源极/漏极区域中嵌入的硅锗确保没有驰豫通过塑性流动(plastic flow)发生,其符合产量控制。尽管通过实施例描述了本发明,本领域的技术人员将认识到本发明可以在所附权利要求的精神和范围内通过修改实施。

Claims (17)

1.一种集成电路晶体管结构,包括:
绝缘体上硅晶片,包括:第一硅层;在所述第一硅层下的掩埋氧化物层;以及在所述掩埋氧化物层下的第二硅层;以及
晶体管,包括:
栅极叠层,在所述第一硅层上;
源极/漏极区域,与所述栅极叠层相邻,其中所述源极/漏极区域包括应变硅锗并延伸穿过所述第一硅层和所述掩埋氧化物层至所述第二硅层;以及
沟道区域,在所述栅极叠层下的所述第一硅层中并在所述源极/漏极区域之间,其中所述应变硅锗在所述沟道区域上施加纵向应力,
其中所述结构还包括所述第二硅层下的第二掩埋氧化物层,以及其中所述第二掩埋氧化物层隔离所述晶体管并且增加在所述沟道区域上施加的所述纵向应力。
2.根据权利要求1的结构,其中所述应变硅锗中的锗浓度在10%和50%之间。
3.根据权利要求1的结构,其中使用硼原位掺杂所述应变硅锗。
4.根据权利要求1的结构,其中通过所述应变硅锗在所述沟道区域上施加的所述纵向应力大于350兆帕斯卡(MPa)。
5.根据权利要求1的结构,其中所述晶片具有上表面,并且所述应变硅锗在所述上表面上延伸,从而增加所述沟道区域上的所述纵向应力。
6.根据权利要求1的结构,其中所述源极/漏极区域的所述应变硅锗的厚度在10nm和100nm之间。
7.一种集成电路晶体管结构,包括:
绝缘体上硅晶片,包括:第一硅层;在所述第一硅层下的掩埋氧化物层;以及在所述掩埋氧化物层下的第二硅层;
p型场效应晶体管,包括:
第一栅极叠层,在所述第一硅层上;
所述第一硅层的n掺杂硅部分,在所述第一栅极叠层下;
p掺杂源极/漏极区域,与所述第一栅极叠层相邻,其中所述p掺杂源极/漏极区域包括应变硅锗并延伸穿过所述第一硅层和所述掩埋氧化物层至所述第二硅层;以及
p沟道区域,在所述第一栅极叠层下的所述第一硅层中并在所述p掺杂源极/漏极区域之间,其中所述应变硅锗在所述p沟道区域上施加纵向应力;以及
n型场效应晶体管,与所述p型场效应晶体管相邻,并包括:
第二栅极叠层;
所述第一硅层的p掺杂硅部分,在所述第二栅极叠层下;以及
n掺杂源极/漏极区域,与所述第二栅极叠层相邻,在p掺杂硅部分中,
其中所述结构进一步包括在所述第二硅层下的第二掩埋氧化物层以及在所述p型场效应晶体管和所述n型场效应晶体管之间的隔离区域,其中所述隔离区域延伸至所述第二掩埋氧化物层以隔离所述p型场效应晶体管与所述n型场效应晶体管。
8.根据权利要求7的结构,其中所述第二掩埋氧化物层进一步增加在所述p沟道区域上施加的所述纵向应力。
9.根据权利要求7的结构,其中所述应变硅锗中的锗浓度在10%和50%之间。
10.根据权利要求7的结构,其中使用硼原位掺杂所述应变硅锗。
11.根据权利要求7的结构,其中通过所述应变硅锗在所述p沟道区域上施加的所述纵向应力大于350兆帕斯卡(MPa)。
12.根据权利要求7的结构,其中所述晶片具有上表面,并且所述应变硅锗在所述上表面上延伸,从而增加所述p沟道区域上的所述纵向应力。
13.一种在集成电路结构中形成p型场效应晶体管的方法,所述方法包括以下步骤:
提供双掩埋氧化物绝缘体上硅晶片;
形成所述双掩埋氧化物绝缘体上硅晶片的第一硅层的n掺杂部分;
在所述n掺杂部分上形成栅极叠层;
形成穿过所述双掩埋氧化物绝缘体上硅晶片并延伸至第二硅层下的第二掩埋氧化物层的隔离结构;以及同时形成与所述p型场效应晶体管相邻的n型场效应晶体管,其中通过所述隔离结构隔离所述n型场效应晶体管与所述p型场效应晶体管;
在所述双掩埋氧化物绝缘体上硅晶片中形成与所述栅极叠层相邻的沟槽以暴露第二硅层;
在所述沟槽中形成应变硅锗,其中所述应变硅锗在所述沟槽之间的所述第一硅层中的沟道区域上施加纵向应力。
14.根据权利要求13的方法,其中形成所述沟槽的所述步骤包括以下步骤:
光刻构图所述沟槽,选择性蚀刻穿过所述第一硅层,以及选择性蚀刻穿过所述第一硅层下的第一掩埋氧化物层。
15.根据权利要求13的方法,其中在所述沟槽中形成所述应变硅锗的所述步骤包括从所述沟槽中暴露的所述第二硅层外延生长所述应变硅锗。
16.根据权利要求15的方法,其中生长所述应变硅锗的所述步骤进一步包括在所述双掩埋氧化物绝缘体上硅晶片的上表面上生长所述应变硅锗。
17.根据权利要求16的方法,其中生长所述应变硅锗的所述步骤进一步包括使用硼原位掺杂所述应变硅锗。
CNB2006100764970A 2005-05-10 2006-04-20 利用双掩埋氧化物绝缘体上硅晶片的嵌入硅锗及其形成方法 Expired - Fee Related CN100524778C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/908,394 2005-05-10
US10/908,394 US7446350B2 (en) 2005-05-10 2005-05-10 Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer

Publications (2)

Publication Number Publication Date
CN1862822A CN1862822A (zh) 2006-11-15
CN100524778C true CN100524778C (zh) 2009-08-05

Family

ID=37390198

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100764970A Expired - Fee Related CN100524778C (zh) 2005-05-10 2006-04-20 利用双掩埋氧化物绝缘体上硅晶片的嵌入硅锗及其形成方法

Country Status (2)

Country Link
US (2) US7446350B2 (zh)
CN (1) CN100524778C (zh)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US7517742B2 (en) * 2005-06-21 2009-04-14 Freescale Semiconductor, Inc. Area diode formation in SOI application
US7384851B2 (en) * 2005-07-15 2008-06-10 International Business Machines Corporation Buried stress isolation for high-performance CMOS technology
KR100639032B1 (ko) * 2005-07-26 2006-10-25 동부일렉트로닉스 주식회사 스트레인드 채널 트랜지스터 및 그 제조방법
US7821066B2 (en) * 2006-12-08 2010-10-26 Michael Lebby Multilayered BOX in FDSOI MOSFETS
FR2910700B1 (fr) * 2006-12-21 2009-03-20 Commissariat Energie Atomique PROCEDE DE FABRICATION D'UN SUBSTRAT SOI ASSOCIANT DES ZONES A BASE DE SILICIUM ET DES ZONES A BASE DE GaAs
US20080179636A1 (en) * 2007-01-27 2008-07-31 International Business Machines Corporation N-fets with tensilely strained semiconductor channels, and method for fabricating same using buried pseudomorphic layers
US7678665B2 (en) * 2007-03-07 2010-03-16 Freescale Semiconductor, Inc. Deep STI trench and SOI undercut enabling STI oxide stressor
US7936042B2 (en) * 2007-11-13 2011-05-03 International Business Machines Corporation Field effect transistor containing a wide band gap semiconductor material in a drain
US20090184341A1 (en) * 2008-01-17 2009-07-23 Chartered Semiconductor Manufacturing, Ltd. Elimination of STI recess and facet growth in embedded silicon-germanium (eSiGe) module
US7939395B2 (en) * 2009-05-14 2011-05-10 International Business Machines Corporation High-voltage SOI MOS device structure and method of fabrication
US8106456B2 (en) * 2009-07-29 2012-01-31 International Business Machines Corporation SOI transistors having an embedded extension region to improve extension resistance and channel strain characteristics
US8487354B2 (en) * 2009-08-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving selectivity of epi process
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
CN101859783B (zh) * 2010-04-30 2012-05-30 北京大学 一种抗总剂量辐照的soi器件及其制造方法
CN101859782B (zh) * 2010-04-30 2012-05-30 北京大学 抗总剂量辐照的soi器件及其制造方法
US10833194B2 (en) * 2010-08-27 2020-11-10 Acorn Semi, Llc SOI wafers and devices with buried stressor
US9406798B2 (en) 2010-08-27 2016-08-02 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US8685847B2 (en) * 2010-10-27 2014-04-01 International Business Machines Corporation Semiconductor device having localized extremely thin silicon on insulator channel region
US8618554B2 (en) 2010-11-08 2013-12-31 International Business Machines Corporation Method to reduce ground-plane poisoning of extremely-thin SOI (ETSOI) layer with thin buried oxide
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
CN102842503B (zh) * 2011-06-20 2015-04-01 中芯国际集成电路制造(北京)有限公司 半导体器件的制造方法
US9076817B2 (en) 2011-08-04 2015-07-07 International Business Machines Corporation Epitaxial extension CMOS transistor
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8575698B2 (en) * 2011-10-27 2013-11-05 International Business Machines Corporation MOSFET with thin semiconductor channel and embedded stressor with enhanced junction isolation
US8476706B1 (en) 2012-01-04 2013-07-02 International Business Machines Corporation CMOS having a SiC/SiGe alloy stack
US20130175618A1 (en) 2012-01-05 2013-07-11 International Business Machines Corporation Finfet device
US20130277747A1 (en) 2012-04-24 2013-10-24 Stmicroelectronics, Inc. Transistor having a stressed body
US9620502B2 (en) * 2013-04-10 2017-04-11 Samsung Electronics Co., Ltd. Semiconductor device including an extended impurity region
US9299837B2 (en) * 2013-05-22 2016-03-29 Globalfoundries Inc. Integrated circuit having MOSFET with embedded stressor and method to fabricate same
US9209094B2 (en) * 2013-07-29 2015-12-08 Globalfoundries Inc. Fin field effect transistor with dielectric isolation and anchored stressor elements
US9349863B2 (en) 2013-08-07 2016-05-24 Globalfoundries Inc. Anchored stress-generating active semiconductor regions for semiconductor-on-insulator finfet
KR102083494B1 (ko) 2013-10-02 2020-03-02 삼성전자 주식회사 나노와이어 트랜지스터를 포함하는 반도체 소자
US9368492B2 (en) 2013-10-15 2016-06-14 Globalfoundries Forming fins of different materials on the same substrate
US9837440B2 (en) 2014-02-07 2017-12-05 International Business Machines Corporation FinFET device with abrupt junctions
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US10056293B2 (en) 2014-07-18 2018-08-21 International Business Machines Corporation Techniques for creating a local interconnect using a SOI wafer
CN105304567A (zh) * 2014-07-31 2016-02-03 上海华力微电子有限公司 用于形成嵌入式锗硅的方法
CN105321881A (zh) * 2014-07-31 2016-02-10 上海华力微电子有限公司 一种用于形成嵌入式锗硅的方法
CN105321882B (zh) * 2014-07-31 2018-05-11 上海华力微电子有限公司 用于制作嵌入式锗硅的方法
CN105321870A (zh) * 2014-07-31 2016-02-10 上海华力微电子有限公司 一种用于制作嵌入式锗硅的方法
US9606291B2 (en) 2015-06-25 2017-03-28 Globalfoundries Inc. Multilevel waveguide structure
US9679899B2 (en) * 2015-08-24 2017-06-13 Stmicroelectronics, Inc. Co-integration of tensile silicon and compressive silicon germanium
US9793400B2 (en) 2015-10-12 2017-10-17 International Business Machines Corporation Semiconductor device including dual-layer source/drain region
US10833193B2 (en) 2016-09-30 2020-11-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device, method of manufacturing the same and electronic device including the device
WO2018059108A1 (zh) * 2016-09-30 2018-04-05 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN106298778A (zh) 2016-09-30 2017-01-04 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5268324A (en) * 1992-05-27 1993-12-07 International Business Machines Corporation Modified silicon CMOS process having selectively deposited Si/SiGe FETS
US5583059A (en) * 1994-06-01 1996-12-10 International Business Machines Corporation Fabrication of vertical SiGe base HBT with lateral collector contact on thin SOI
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US6121100A (en) * 1997-12-31 2000-09-19 Intel Corporation Method of fabricating a MOS transistor with a raised source/drain extension
US6365488B1 (en) * 1998-03-05 2002-04-02 Industrial Technology Research Institute Method of manufacturing SOI wafer with buried layer
US6432754B1 (en) * 2001-02-20 2002-08-13 International Business Machines Corporation Double SOI device with recess etch and epitaxy
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6930030B2 (en) 2003-06-03 2005-08-16 International Business Machines Corporation Method of forming an electronic device on a recess in the surface of a thin film of silicon etched to a precise thickness
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US6906360B2 (en) 2003-09-10 2005-06-14 International Business Machines Corporation Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions
US7034362B2 (en) * 2003-10-17 2006-04-25 International Business Machines Corporation Double silicon-on-insulator (SOI) metal oxide semiconductor field effect transistor (MOSFET) structures
US8097924B2 (en) * 2003-10-31 2012-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra-shallow junction MOSFET having a high-k gate dielectric and in-situ doped selective epitaxy source/drain extensions and a method of making same
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US6949482B2 (en) * 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
US6855982B1 (en) * 2004-02-02 2005-02-15 Advanced Micro Devices, Inc. Self aligned double gate transistor having a strained channel region and process therefor
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7102201B2 (en) * 2004-07-15 2006-09-05 International Business Machines Corporation Strained semiconductor device structures
US7288448B2 (en) * 2004-08-24 2007-10-30 Orlowski Marius K Method and apparatus for mobility enhancement in a semiconductor device
US7112848B2 (en) * 2004-09-13 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Thin channel MOSFET with source/drain stressors
US20060056968A1 (en) 2004-09-15 2006-03-16 General Electric Company Apparatus and methods for cooling turbine bucket platforms
US7067868B2 (en) * 2004-09-29 2006-06-27 Freescale Semiconductor, Inc. Double gate device having a heterojunction source/drain and strained channel
US7135724B2 (en) * 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
US7306997B2 (en) * 2004-11-10 2007-12-11 Advanced Micro Devices, Inc. Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor
US7195969B2 (en) * 2004-12-31 2007-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Strained channel CMOS device with fully silicided gate electrode
US7176481B2 (en) 2005-01-12 2007-02-13 International Business Machines Corporation In situ doped embedded sige extension and source/drain for enhanced PFET performance
US7323391B2 (en) * 2005-01-15 2008-01-29 Applied Materials, Inc. Substrate having silicon germanium material and stressed silicon nitride layer
US7238580B2 (en) * 2005-01-26 2007-07-03 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration
US7282415B2 (en) * 2005-03-29 2007-10-16 Freescale Semiconductor, Inc. Method for making a semiconductor device with strain enhancement
US7250351B2 (en) * 2005-04-14 2007-07-31 International Business Machines Corporation Enhanced silicon-on-insulator (SOI) transistors and methods of making enhanced SOI transistors
US7221006B2 (en) * 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same

Also Published As

Publication number Publication date
CN1862822A (zh) 2006-11-15
US7781800B2 (en) 2010-08-24
US20080265281A1 (en) 2008-10-30
US20060255330A1 (en) 2006-11-16
US7446350B2 (en) 2008-11-04

Similar Documents

Publication Publication Date Title
CN100524778C (zh) 利用双掩埋氧化物绝缘体上硅晶片的嵌入硅锗及其形成方法
JP5043314B2 (ja) 勾配付き組み込みシリコン−ゲルマニウムのソース−ドレイン及び/又は延長部をもつ、歪みp型mosfetを製造する方法
US9401424B2 (en) High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US6881635B1 (en) Strained silicon NMOS devices with embedded source/drain
US7176481B2 (en) In situ doped embedded sige extension and source/drain for enhanced PFET performance
CN101523608B (zh) 包括双应力源的n沟道mosfet及其制造方法
US7851291B2 (en) Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
US6372559B1 (en) Method for self-aligned vertical double-gate MOSFET
KR100473663B1 (ko) Cmos 장치 제조방법
US7585711B2 (en) Semiconductor-on-insulator (SOI) strained active area transistor
US20060131657A1 (en) Semiconductor integrated circuit device and method for the same
JP3678661B2 (ja) 半導体装置
JP2001217433A (ja) 埋め込みシリコンゲルマニウム層をもつcmos集積回路素子及び基板とその製造方法
US7381624B2 (en) Technique for forming a substrate having crystalline semiconductor regions of different characteristics located above a crystalline bulk substrate
US20120061735A1 (en) Semiconductor device with stress trench isolation and method for forming the same
US20090315115A1 (en) Implantation for shallow trench isolation (STI) formation and for stress for transistor performance enhancement
US6818938B1 (en) MOS transistor and method of forming the transistor with a channel region in a layer of composite material
US9112020B2 (en) Transistor device
US7479422B2 (en) Semiconductor device with stressors and method therefor
JP2009016423A (ja) 半導体装置及びその製造方法
CN100477271C (zh) 具有复合区域的绝缘体上硅场效应晶体管及形成该场效应晶体管的方法
KR100788353B1 (ko) 반도체 소자 및 그 제조 방법
JP2001332745A (ja) 半導体装置の製造方法及び半導体装置
KR20070070863A (ko) 반도체 소자 및 그 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171103

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171103

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090805

Termination date: 20190420