CN100394149C - 用于原位流量检验和校准的系统和方法 - Google Patents

用于原位流量检验和校准的系统和方法 Download PDF

Info

Publication number
CN100394149C
CN100394149C CNB2004800215718A CN200480021571A CN100394149C CN 100394149 C CN100394149 C CN 100394149C CN B2004800215718 A CNB2004800215718 A CN B2004800215718A CN 200480021571 A CN200480021571 A CN 200480021571A CN 100394149 C CN100394149 C CN 100394149C
Authority
CN
China
Prior art keywords
flow
physics layer
networked physics
verification device
control equipment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2004800215718A
Other languages
English (en)
Other versions
CN1829903A (zh
Inventor
卡韦赫·扎卡尔
迈克尔·F·拉巴锡
马克·J·夸拉蒂耶洛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MKS Instruments Inc
Original Assignee
MKS Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MKS Instruments Inc filed Critical MKS Instruments Inc
Publication of CN1829903A publication Critical patent/CN1829903A/zh
Application granted granted Critical
Publication of CN100394149C publication Critical patent/CN100394149C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • G01F25/10Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters
    • G01F25/17Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters using calibrated reservoirs
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • G01F25/0092Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume for metering by volume
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • G01F25/10Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • G01F25/10Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters
    • G01F25/15Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters specially adapted for gas meters
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means

Abstract

一种用于流量控制设备的原位检验和校准的系统和方法,包括连接流量控制设备和流量检验设备的第一网络物理层。流量检验设备的控制器通过第一网络物理层与每个流量控制设备通信,从每个流量控制设备接收气体详细信息和传递函数,并检验每个流量控制设备的流量。流量检验设备的控制器进一步通过第一网络物理层与每个流量控制设备通信,如果必要,校准流量控制设备。流量控制设备的检验和校准优选为根据连接到第二网络物理层的工具控制器提供的单命令执行,第二网络物理层连接到流量控制设备。

Description

用于原位流量检验和校准的系统和方法
技术领域
本发明一般涉及半导体处理设备,特别的,涉及用于将无污染物的、精确计量的生产和吹扫气体提供到半导体处理腔室的系统和方法。更特别的,本发明涉及流量控制设备的原位检验和校准的系统和方法。
背景技术
单个半导体器件的制作需要将仔细同步和准确测量的气体输送到处理腔中。在制作过程中使用各种方法,并且可能需要许多单独的处理步骤,如半导体器件的清洗、磨光、氧化、遮蔽、蚀刻、掺杂、硬化等。这些所使用步骤的特定的次序和有关的材料都有助于特定设备的制作。
因此,晶片制作设备一般包括在其中执行化学蒸涂、等离子体沉积、等离子体蚀刻、真空喷镀和完成其他相似的气体生产过程的区域。处理工具,如化学蒸涂的反应器、真空镀膜机、等离子体蚀刻机或等离子体增强化学蒸涂装置,必须被提供以各种处理气体。必须提供给这些工具无污染物的、准确测量数量的纯净气体。
在典型的晶片制造设备中,气体储存在罐中,该罐通过管道或导管连接到气箱。气箱将无污染物的、准确测量数量的纯的惰性或反应气体从制造设备的罐输送到处理工具。气箱或气体测量系统包括多个具有气体测量单元的气路,例如阀、压力调节器和传感器、质量流量控制器和过滤器/净化器。每一个气路都有自己的连接单独气体源的入口,但是,为了连接处理工具,所有的气路汇聚到一个出口,例如气体集合管。
当输送测定量的气体时,期望并通常必须能够在用于输送这种气体的气体传输系统中获得准确的气流状态的测量,例如,当测量质量流量控制器的准确度或校准质量流量控制器时。一种实现方式是通过测量已知体积的腔室中气体的温度和压力变化率并校准测量值的质量流量。根据所谓的“压力升高率”或“上升速率(ROR)”方法,气流通过测量设备(DUT,例如质量流量测量器)导入真空的、体积已校准的腔室中一段测量的时间间隔Δt。腔室中气体的温度的变化(ΔT)和压力的变化(ΔP)被测量和修正到标准的温度(T0)和压力(P0)。这里使用的术语“标准”意思是“标准状态”,通常定义为“绝对”温度为273.15k和“绝对”压力为1个大气压。已知体积,根据压力随时间的变化(ΔP/Δt)和温度随时间的变化(ΔT/Δt)可以计算气体流速。
许多气体传输系统采用基本为理想气体的气体。换句话说,他们的状态可以根据理想气体定律来精确预测和模拟,该定律表达式为PV=nRT,P是压力,V是体积,n是气体摩尔数,R是通用气体常数,T是绝对温度。固定体积的基本理想气体的随时间的压力变化与温度变化的关系是常数,与气体无关。因此,理想气体定律关系可以用来测定n,质量,也就是说腔中气体的摩尔数。在某些情况下,气体表现与理想气体不同,修正函数可以用来更加准确地补偿压力和温度随时间变化的测量。
一些设备使用ROR方法验证流量。例如,被转让给本发明的受让人的Hinkle的美国专利号5,684,245,公开并要求保护一种应用ROR方法在气体输送系统中测量气体质量流量的设备和方法。本发明的受让人马萨诸塞州安杜佛的MKS仪器公司,也提供了ROR流量检验器产品,例如Tru-FloTM原位质量流量校验器和GBRORTM(气箱上升速率)原位质量流量校验器。
在气体测量装置中,用于检验和校准流量控制设备的新的改进的系统和方法仍然是期望的。优选为,新的改进的系统和方法将使用ROR流量检验器。另外,新的改进的系统和方法将优选提供流量控制设备的原位检验和校准,从而使得检验和校准不需要从气体测量系统中去掉流量控制设备。
发明内容
本发明的示例性实施例提供了一种流量控制设备的原位检验和校准的系统和方法,包括连接流量控制设备和流量检验设备的第一网络物理层。流量检验设备的控制器通过第一网络物理层与每个流量控制设备通信,从每个流量控制设备接收气体详细信息和传递函数,并检验每个流量控制设备的流量。流量检验设备的控制器进一步通过第一网络物理层与每一个流量控制设备通信,如果必要,校准流量控制设备。流量控制设备的检验和校准优选为根据连接到第二网络物理层的工具控制器提供的单命令执行,该第二网络物理层连接流量控制设备。
本领域的技术人员在阅读了附图中显示的优选实施例的以下详细说明之后,将能更清楚的理解本发明的上述和其它的特征和优势。
附图说明
图1是根据现有技术的气体测量系统的示意图,并包括连接气体集合管的质量流量控制器以及连接在气体集合管与真空泵之间的质量流量检验器;
图2是图1中的质量流量检验器的示例性组件的示意图;
图3是根据现有技术的、由图1中的质量流量检验器执行的用于验证一个质量流量控制器的流速的方法的压力时间图;
图4是气体测量系统的示意图,包括根据本发明的质量流量控制器的原位检验和校准的系统的示例性实施例;
在所有的示意图中,同样的参考符号指示相同的或相应的组件和单元。
具体实施方式
参看图4,本发明提供了一种系统100和方法,用于原位检验和校准通过测量装置(DUT),例如质量流量控制器(MFC)的流体流量。系统100和方法特别的应用将无污染物的、精确计量的生产和吹扫(purge)气体提供到半导体处理腔室的气体测量系统。在一个示例性实施例中,新的改进的系统100和方法采用上升速率(RORrate-of-rise)流量检验器。新的改进的系统100和方法提供MFC的原位检验和校准,从而使得检验和校准不需要从气体测量系统中去掉MFC。
首先参见图1和图2,其中显示了现有技术的流量检验设备10。在图1中,流量检验设备10连接在气体测量系统50的气体集合管52和真空泵54之间。气体测量系统50也包括多个控制气体通过连接到气体集合管的管路58的流动的质量流量控制器(MFC)56。在图1的示例性实施例中,系统50包括12个连接到气体集合管52并具有MFC56的管路58。但是,根据需要,系统50可以包含或多或少于12个管路58。
优选但非必要的,每个MFC56包括压力不敏感型的MFC(PiMFC),其可从马萨诸塞州安杜佛(http://www.mksinst.com)的MKS仪器公司得到。PiMFC包括功能和性能上的技术改进,以帮助用户在半导体和高纯度薄膜应用中增加工具生产量和减少系统整体成本。特别的,通过先进的物理模型和数字控制算法,PiMFC提供了实时的准确的流量控制,对上游和下游的压力干扰不敏感。PiMFC使生产气流能够实时控制,在常规的基于数字的MFC上,使准确性和可重复性显著改进,使腔室匹配更好。压力不敏感的质量流量控制器也公开在2002年6月4日提交的共同未决的美国专利申请序列号10/178,721中,该压力波动不敏感的质量流量控制器的设备和方法(律师签号MKS-102),已转让给本发明的受让人并在此引用作为参考。
流量检验设备10被用来检验MFC产生的流速。如图2所示,设备10包括具有预定体积的容器20、控制气体集合管和容器20之间流量的“上游”或第一阀22、控制容器20到真空泵的流量的“下游”或第二阀24以及与容器20的体积相通的容器压力测量设备26。设备10也包括旁路阀28。该容器压力测量设备26可以是压力传感器。
流量检验设备10的控制器30利用流量检验的上升速率法,其在图3中通过压力(P)时间(t)图显示。通常,控制器30是一个计算机处理器,其包括电子存储器和时钟。控制器30通常被编程,从而使得:在操作期间,控制器首先关闭旁路阀28,并打开第一阀22和第二阀24,使流量从MFC12旁路,并通过容器20。控制器30进一步被编程,从而使得在旁路流动稳定的初始周期后,第二阀24关闭,以停止从容器20的流动。当关闭的容器20充满来自MFC12的气体时,控制器30接受来自压力测量设备26的容器的压力测量,接受时钟的时间测量,并且根据气流确定容器压力变化率。然后控制器30利用容器压力的变化率和容器20的已知体积,确定MFC12提供的实际流量。图3描述了在已知体积的容器20中,气体流速是如何由控制器30根据单位时间压力的变化(ΔP/ΔT)来计算的。
流量测量完成后,图2所示的第一阀22被关闭,第二阀24打开以使用真空泵净化容器20。净化后,第二阀24关闭,旁路阀28打开,以允许MFC12和处理室之间的正常流动。
流量检验设备10包括,例如,GBRORTM原位流量检验器或者Tru-FloTM原位流量检验器,两种都可由马萨诸塞州安杜佛(http://www.mksinst.com)的MKS仪器公司获得。GBRORTM是标准组件的气路或者气棍,包括阀、压力容器、压力传感器和安装在集合管上的控制器。GBRORTM和Tru-FloTM流量检验器都是过程透明的,也就是说,操作在气体传输系统的正常处理步骤之间,因此减少了处理工具停机时间。压力测量设备26可包括例如牌的压力传感器,也可由MKS仪器公司获得。
再次参看图1,MFC12的计算机控制器(未示出)和流量检验设备10的控制器30通过到工具控制器60的网络物理层70连接。在一个示例性实施例中,网络物理层包括DeviceNetTM网络物理层70。DeviceNetTM是一种简单的网络解决方案,当为多个供应商提供“相似”组件的互换性时,可以减少布线和安装工业装置的成本和时间。DeviceNetTM是开放的网络标准,其具有开放的规格和协议。供应商不需要购买硬件、软件或与应用DeviceNetTM的系统相连接的设备的许可。DeviceNetTM标准可从开放DeviceNetTM(设备网络)供应商协会组织(www.odva.org)获得。当更多复杂设备可能互联时,DeviceNetTM物理层70允许简单设备的互换性。DeviceNetTM物理层70基于面向广播的通信协议、控制器局域网络(CAN)。1996年超过一千万的CAN芯片被生产。四个主要的CAN芯片提供商有英特尔、摩托罗拉、飞利浦和日立。DeviceNetTM物理层70的两大主要用途是:1)与低水平设备相关联的面向控制的信息的传输;以及2)其他间接与被控制的系统相关联的信息的传输,例如配置。
为了检验通过MFC56中的一个的流量,工具控制器60通过DeviceNetTM物理层70单独控制流量检验设备10和被选择的MFC56中的一个,从而检验被选择的MFC56的流速。
参看图4,本发明中新的改进的系统100提供MFC56的原位检验和校准,从而使得检验和校准不需要从气体测量系统50中去掉MFC56。系统100包括第一网络物理层102,它连接每个MFC56和流量检验设备10到集线器104,该集线器依次被连接到连接工具106。
流量检验设备10的计算机控制器30被重新编程,从而与每个MFC56自动通信,并且如果需要,检验和校准第一网络物理层102上的每个MFC56的流量。特别的,所有的气体详细信息连同传递函数(提供压力不敏感性)被每个MFC56的流量检验设备10的计算机控制器30请求,并被处理以应用前述ROR技术来检验每个MFC56的流速的精确度。这种通信经由第一网络物理层102在流量检验设备10和MFC56之间进行。
原位流量检验和校准由来自“第二”物理层70的工具控制控制器60的单命令启动。在示例性实施例中,第二网络物理层包括DeviceNetTM网络物理层70,尽管其他的协议也可被应用。在一个操作方式中,流量检验数据通过DeviceNetTM网络物理层70被收集和传输到工具控制器60。在另一不同的操作方式中,流量检验设备10的计算机控制器30被编程以接收来自工具控制器70的单命令,并查询测量的MFC56、检验流量并校准MFC,如果必要,将上传校准参数到MFC。对于气体测量系统50的所有MFC56,这些步骤可以是连续的,并且具有根据需要的频率。所有重要的校准数据将被驻留在每个MFC56上。
在本发明的一个示例性实施例中,第一网络物理层包括以太网/互联网协议(EtherNet/IP)网络物理层102。EtherNet/IP是工业网络标准,其利用商业成品的以太网通信芯片和物理介质。IP代表“工业协议”,并且是区别网络的本质。不同于工业以太网群集的许多选择,EtherNet/IP使用了开放的应用层协议。而且,超过一个的供应商和组织支持EtherNet/IP,EtherNet/IP是三大网络组织支持的唯一标准,包括国际控制网络(CI),工业以太网协会(IEA),开放设备网络供应商协会(ODVA)。
EtherNet/IP是协议栈,其为自动化目的而应用开放的、工业成熟的协议扩展了商业成品的以太网。同时,它支持通用的办公协议,例如超文本传输协议(HTTP)、文件传输协议(FTP)和简单网络管理协议(SNMP)。基于TCP/IP协议组,以太网/互联网协议(EtherNet/IP)使用传输控制协议(TCP/IP)用于信息通信,使用数据报协议(UDP/IP)用于I/O通信。通过应用TCP/IP和UDP/IP协议封装数据,这是第一个提供实时I/O控制的工业以太网络。
作为DeviceNetTM的同伴网络,EtherNet/IP使用与DeviceNetTM同样的开放的、坚固的应用层协议-控制和信息协议(CIP)。因此,网络也共享设备规范和对象库。这使EtherNet/IP的开发者可以使用DeviceNetTM对象和规范,用于来自多个提供商的设备的即插即用互操作。DeviceNetTM和EtherNet/IP的组合促进了从传感器到企业软件的透明度。
根据本发明的另一示例性实施例,集线器还连接到ToolWebTM。ToolWebTM是软件“连接”解决方案,其可从MKS仪器公司获得,它允许通过Ethernet/IP网络到所有处理工具程序的连接。BlueBoxTM是硬件通信管理器,可从MKS仪器公司获得,它支持数据收集和路由的ToolWebTM。多种工具可以通过EtherNet/IP网络物理层102被连接到ToolWebTM,并可以将收集的数据公布给通过集线器连接到EtherNet/IP网络物理层102的第三方数据库。通常,ToolWebTM是这样一种系统,其包括硬件,例如BlueBoxTM通信管理器,也包括软件,从而为可能的电子诊断目的对数据进行监测和收集。
虽然已经解释和说明了本发明的优选实施方式,但是本领域技术人员应该理解,也可以进行各种改变和修改。因此,所附的权利要求书覆盖了落入本发明的精神和范围内的这些改变和修改。

Claims (20)

1.一种用于流量控制设备的原位检验和校准的系统,包括:
流量检验设备;
用于将所述流量控制设备连接到所述流量检验设备的第一网络物理层;以及
连接到所述流量检验设备的第二网络物理层;
其中,所述流量检验设备的控制器检验所述流量控制设备中的流量,如果其被确定,则基于该检验,根据通过所述第二网络物理层提供的单命令,在所述第一网络物理层上校准所述流量控制设备。
2.如权利要求1所述的系统,其中,所述第一网络物理层包括以太网/互联网协议网络物理层。
3.如权利要求1所述的系统,其中,所述第二网络物理层包括DeviceNetTM网络物理层。
4.如权利要求1所述的系统,其中,所述流量检验器是上升速率流量检验器。
5.如权利要求4所述的系统,其中,所述流量检验器是GBRORTM原位流量检验器。
6.如权利要求4所述的系统,其中,所述流量检验器是Tru-FloTM原位流量检验器。
7.如权利要求1所述的系统,还包括连接到所述第一网络物理层的流量控制设备。
8.如权利要求7所述的系统,其中,所述流量控制设备包括压力不敏感型的质量流量控制器。
9.如权利要求1所述的系统,还包括连接到所述第一网络物理层的集线器。
10.如权利要求9所述的系统,其中,所述集线器包括BlueBoxTM通信管理器。
11.一种用于流量控制设备的原位检验和校准的方法,包括:
通过第一网络物理层将流量检验设备连接到所述流量控制设备;
连接第二网络物理层和所述流量检验设备;以及
所述流量检验设备的控制器检验所述流量控制设备中的流量,如果其被确定,则基于该检验,根据通过所述第二网络物理层提供的单命令,在所述第一网络物理层上校准所述流量控制设备。
12.如权利要求11所述的方法,其中,所述第一网络物理层包括以太网/互联网协议网络物理层。
13.如权利要求11所述的方法,其中,所述第二网络物理层包括DeviceNetTM网络物理层。
14.如权利要求11所述的方法,其中,所述流量检验器是上升速率流量检验器。
15.如权利要求14所述的方法,其中,所述流量检验器是GBRORTM原位流量检验器。
16.如权利要求14所述的方法,其中,所述流量检验器是Tru-FloTM原位流量检验器。
17.如权利要求11所述的方法,其中,所述流量控制设备包括压力不敏感型的质量流量控制器。
18.如权利要求11所述的方法,还包括连接集线器到所述第一网络物理层。
19.如权利要求18所述的方法,其中,所述集线器包括BlueBoxTM通信管理器。
20.如权利要求11所述的方法,其中,所述流量检验设备被放入流体中,通过气体集合管与所述流量控制设备进行通信。
CNB2004800215718A 2003-06-25 2004-05-24 用于原位流量检验和校准的系统和方法 Active CN100394149C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/603,946 2003-06-25
US10/603,946 US6955072B2 (en) 2003-06-25 2003-06-25 System and method for in-situ flow verification and calibration

Publications (2)

Publication Number Publication Date
CN1829903A CN1829903A (zh) 2006-09-06
CN100394149C true CN100394149C (zh) 2008-06-11

Family

ID=33539841

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800215718A Active CN100394149C (zh) 2003-06-25 2004-05-24 用于原位流量检验和校准的系统和方法

Country Status (7)

Country Link
US (1) US6955072B2 (zh)
JP (1) JP4537393B2 (zh)
KR (1) KR101076833B1 (zh)
CN (1) CN100394149C (zh)
DE (1) DE112004001142B4 (zh)
GB (1) GB2418260B (zh)
WO (1) WO2005006390A2 (zh)

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7523639B2 (en) * 2003-12-10 2009-04-28 Micro Motion, Inc. Flow meter type identification
US7412986B2 (en) * 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7757554B2 (en) * 2005-03-25 2010-07-20 Mks Instruments, Inc. High accuracy mass flow verifier with multiple inlets
US7461549B1 (en) 2007-06-27 2008-12-09 Mks Instruments, Inc. Mass flow verifiers capable of providing different volumes, and related methods
US7474968B2 (en) 2005-03-25 2009-01-06 Mks Instruments, Inc. Critical flow based mass flow verifier
US7174263B2 (en) * 2005-03-25 2007-02-06 Mks Instruments, Inc. External volume insensitive flow verification
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7289863B2 (en) * 2005-08-18 2007-10-30 Brooks Automation, Inc. System and method for electronic diagnostics of a process vacuum environment
US7266467B1 (en) * 2006-02-25 2007-09-04 Trimble Navigation, Limited Method to calibrate hydraulic flow valves in situ
US7603186B2 (en) * 2006-04-28 2009-10-13 Advanced Energy Industries, Inc. Adaptive response time closed loop control algorithm
US7640078B2 (en) * 2006-07-05 2009-12-29 Advanced Energy Industries, Inc. Multi-mode control algorithm
US7743670B2 (en) * 2006-08-14 2010-06-29 Applied Materials, Inc. Method and apparatus for gas flow measurement
US8205629B2 (en) * 2008-04-25 2012-06-26 Applied Materials, Inc. Real time lead-line characterization for MFC flow verification
US7891228B2 (en) * 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
JP5346628B2 (ja) * 2009-03-11 2013-11-20 株式会社堀場エステック マスフローコントローラの検定システム、検定方法、検定用プログラム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI435196B (zh) 2009-10-15 2014-04-21 Pivotal Systems Corp 氣體流量控制方法及裝置
US8707754B2 (en) * 2010-04-30 2014-04-29 Applied Materials, Inc. Methods and apparatus for calibrating flow controllers in substrate processing systems
DE102011100029C5 (de) 2011-04-29 2016-10-13 Horiba Europe Gmbh Vorrichtung zum Messen eines Kraftstoffflusses und Kalibriervorrichtung dafür
GB201108854D0 (en) 2011-05-26 2011-07-06 Spp Process Technology Systems Uk Ltd Mass flow controller monitoring
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9772629B2 (en) * 2011-09-29 2017-09-26 Applied Materials, Inc. Methods for monitoring a flow controller coupled to a process chamber
JP5433660B2 (ja) * 2011-10-12 2014-03-05 Ckd株式会社 ガス流量監視システム
JP5809012B2 (ja) * 2011-10-14 2015-11-10 株式会社堀場エステック 流量制御装置、流量測定機構、又は、当該流量測定機構を備えた流量制御装置に用いられる診断装置及び診断用プログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9169975B2 (en) * 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103063259B (zh) * 2012-12-24 2016-04-13 北京七星华创电子股份有限公司 管道压力检测及控制系统
CN104678985B (zh) * 2013-12-03 2018-10-09 无锡华润华晶微电子有限公司 一种校验质量流量控制器的装置及方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN108883843A (zh) * 2015-11-25 2018-11-23 克里蒂尔系统公司 气体管理系统和控制器
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10732021B2 (en) * 2016-05-17 2020-08-04 Gojo Industries, Inc. Method and apparatus for calibrating remaining doses in a refillable dispenser
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10697848B1 (en) * 2016-12-12 2020-06-30 Kirk A. Dobbs Smart building water supply management system with leak detection and flood prevention
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10031004B2 (en) * 2016-12-15 2018-07-24 Mks Instruments, Inc. Methods and apparatus for wide range mass flow verification
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6913498B2 (ja) * 2017-04-18 2021-08-04 東京エレクトロン株式会社 流量制御器の出力流量を求める方法及び被処理体を処理する方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP6851953B2 (ja) * 2017-10-30 2021-03-31 アークレイ株式会社 ポンプ駆動方法
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
KR102628015B1 (ko) 2017-12-01 2024-01-23 삼성전자주식회사 질량 유량 제어기, 반도체 소자의 제조장치 및 그의 관리방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
CN110836839B (zh) * 2018-08-16 2022-02-22 成都瑞柯林工程技术有限公司 粉尘监测方法、系统及信号处理装置
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN109085812A (zh) * 2018-08-28 2018-12-25 武汉华星光电技术有限公司 气体流量监测系统及监测和主备用切换方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112563105B (zh) * 2019-09-10 2023-11-03 中微半导体设备(上海)股份有限公司 等离子体处理装置中实现气体流量验证的系统及方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11733081B2 (en) * 2021-04-13 2023-08-22 Applied Materials, Inc. Methods, systems, and apparatus for conducting a calibration operation for a plurality of mass flow controllers (MFCs) of a substrate processing system
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113916329A (zh) * 2021-11-03 2022-01-11 国家石油天然气管网集团有限公司 基于神经网络的天然气流量计检定装置及检定方法
CN113984977B (zh) * 2021-12-27 2022-05-17 河北先河环保科技股份有限公司 工业健康监测站

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4328697A (en) * 1979-05-23 1982-05-11 Lucas Industries Limited Transducer calibration device
US4331262A (en) * 1978-04-07 1982-05-25 New Brunswick Scientific Co., Inc. Calibratable automatic fluid dispenser

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5726358A (en) * 1993-01-25 1998-03-10 Koelling; Christian Method and device for measuring the discharge in partly filled or completely filled ducts and in open channels
US5479812A (en) * 1994-07-15 1996-01-02 Honeywell Inc. On-site calibration device and method for nonlinearity correction for flow sensor/transmitter
US5856929A (en) * 1994-08-19 1999-01-05 Spectrel Partners, L.L.C. Integrated systems for testing and certifying the physical, functional, and electrical performance of IV pumps
US5684245A (en) * 1995-11-17 1997-11-04 Mks Instruments, Inc. Apparatus for mass flow measurement of a gas
US5745390A (en) * 1997-02-21 1998-04-28 Regents Of The University Of Michigan Method and system for reducing development time of complex systems utilizing correlation matrices
US6119710A (en) 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6343617B1 (en) 1999-07-09 2002-02-05 Millipore Corporation System and method of operation of a digital mass flow controller
US6539968B1 (en) * 2000-09-20 2003-04-01 Fugasity Corporation Fluid flow controller and method of operation
US6892166B2 (en) * 2001-03-09 2005-05-10 Capton, Inc. Method, apparatus, and system for monitoring amount of liquid poured from liquid containers
US6671583B2 (en) * 2001-03-30 2003-12-30 Helix Technology Corporation Vacuum system information network
US6701261B2 (en) * 2002-05-17 2004-03-02 Ads Corporation Method and system for analyzing the effect of inflow and infiltration on a sewer system
US6712084B2 (en) * 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US7114368B2 (en) * 2003-04-08 2006-10-03 Abbott Laboratories Apparatus and method for verifying the volume of liquid dispensed by a liquid-dispensing mechanism

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4331262A (en) * 1978-04-07 1982-05-25 New Brunswick Scientific Co., Inc. Calibratable automatic fluid dispenser
US4328697A (en) * 1979-05-23 1982-05-11 Lucas Industries Limited Transducer calibration device

Also Published As

Publication number Publication date
US6955072B2 (en) 2005-10-18
DE112004001142B4 (de) 2018-04-12
JP2007525736A (ja) 2007-09-06
KR20060026063A (ko) 2006-03-22
WO2005006390A3 (en) 2005-07-21
US20040261492A1 (en) 2004-12-30
WO2005006390A2 (en) 2005-01-20
DE112004001142T5 (de) 2006-05-18
GB0526353D0 (en) 2006-02-01
KR101076833B1 (ko) 2011-10-25
JP4537393B2 (ja) 2010-09-01
CN1829903A (zh) 2006-09-06
GB2418260B (en) 2006-12-13
GB2418260A (en) 2006-03-22

Similar Documents

Publication Publication Date Title
CN100394149C (zh) 用于原位流量检验和校准的系统和方法
US7174263B2 (en) External volume insensitive flow verification
US8205629B2 (en) Real time lead-line characterization for MFC flow verification
CN101978132B (zh) 对气体流动控制器进行现场测试的方法和设备
US20200166400A1 (en) Methods, systems, and apparatus for mass flow verification based on choked flow
TWI591466B (zh) 用於質量流控制器驗證之系統與方法
US7532952B2 (en) Methods and apparatus for pressure control in electronic device manufacturing systems
KR100944962B1 (ko) 질량유량분할 시스템과 방법
US8521461B2 (en) Apparatus for delivering a process gas
US7137400B2 (en) Bypass loop gas flow calibration
JP4502590B2 (ja) 半導体製造装置
US20040250600A1 (en) Method of mass flow control flow verification and calibration
US20020083984A1 (en) System for and method of monitoring the flow of semiconductor process gases from a gas delivery system
TW200910035A (en) Methods and systems for designing and validating operation of abatement systems
JP2012237070A (ja) パルス化された質量流量搬送システム及び方法
WO2005123236A1 (ja) 基板処理装置
CN110073181B (zh) 用于大范围质量流量检验的方法和设备
CN107870197B (zh) 气流处理控制系统及使用晶体微天平的方法
CN112020689A (zh) 用于基于压力衰减速率来进行质量流量校验的方法、系统和设备
WO2002033361A2 (en) Apparatus and method for maintaining a constant pressure drop across a gas metering unit
JPS58111314A (ja) ガス流量制御方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant