TW201118924A - Methods of forming electronic devices - Google Patents

Methods of forming electronic devices Download PDF

Info

Publication number
TW201118924A
TW201118924A TW099120756A TW99120756A TW201118924A TW 201118924 A TW201118924 A TW 201118924A TW 099120756 A TW099120756 A TW 099120756A TW 99120756 A TW99120756 A TW 99120756A TW 201118924 A TW201118924 A TW 201118924A
Authority
TW
Taiwan
Prior art keywords
layer
photoresist pattern
photoresist
openings
composition
Prior art date
Application number
TW099120756A
Other languages
English (en)
Other versions
TWI449084B (zh
Inventor
Young-Cheol Bae
Thomas Cardolaccia
Yi Liu
Original Assignee
Rohm & Haas Elect Mat
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm & Haas Elect Mat filed Critical Rohm & Haas Elect Mat
Publication of TW201118924A publication Critical patent/TW201118924A/zh
Application granted granted Critical
Publication of TWI449084B publication Critical patent/TWI449084B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/265Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)

Description

201118924 r 六、發明說明: 、本發明主張申請於2009年6月26日之第61/269, 600 、號及申請於細年11月19日之第61/281,咖號美國臨 時申請案根據35U.S.C.§119(e)之優先權保護,該等申請 案之内谷整體併入此處作為參考。 【本發明所屬之技術領域】 本發明大體上係關於電子駿置之製造。更具體地說, 本發明係關於形成光微影圖案之方法,其中光阻圖案使用 可有效地使該光阻圖案之表面呈驗性之材料處理。本發明 於用於形成高密度微影圖案及特徵之半導體襄置之製造有 其特殊用途。 【先前技術】 於半導體製造工業中,光阻材料係用於將影像轉移至 一層或多層設置於半導體基板上之下方層(如金屬 、半導體 或電介質層)以及轉移至該基板本身。為了提升半導體裝置 之積體密度並形成具有奈米範圍尺寸之結構,已經並持續 開發具有高解析度之光阻及光微影加 工工具。 一種於半導體裝置中實現奈米(nm)級特徵尺寸之方 法係在化學增幅光阻之曝光過程中使用短波長光,如 193nm或更短波長。浸沒式微影有效地提升成像裝置(如具 有KrF或ArF光源之掃描器)之透鏡之數值孔徑。此浸沒式 微影的完成係藉由在成像裝置之底表面與半導體晶圓之上 表面之間使用相對較高折射率流體(即浸沒流體)。與使用 空氣或惰性氣體介質相比,該浸沒流體使更大量的光聚焦 3 94925 201118924 於光阻層。 *k#J(Rayleigh)方程式所定義之理論解析度極限 如下所示: R = k'長 其中必為製賴子’ λ為成像工具之波長,似為成 像透鏡之數值孔徑。當將水㈣浸沒流體時,最大數值孔 裎可增大,如從1· 2至1. 35。對於必為〇. 25之印刷線及 空間圖案之例,193nm浸沒掃描器僅能分辨36nm半節距線 及二間圖案。因低虛像對比(aerial imagecontmst)及暗 場遮罩(其中也之理論極限為〇· 35),印刷接觸孔或任何 2D圖案進一步受到限制。接觸孔的最小半節距因此限制於 約50nm。標準浸沒式微影製程通常不適用於製造具有更高 解析度之裝置。 為了努力實現較高解析度並提高傳統製造工具之能 力使其超越理論解析度極限’已提出了多種雙重圖案化製 程’如自對準式雙重圖案化(SADP)、微影—蝕刻-微影-蝕刻 (LELE)及微影-微影-蝕刻(LLE)技術。然而,該等典型實施 之技術存在一種或多種缺陷。SADP製程典型地具有相對較 多數目的製程步驟,因此負面影響生產通量。LELE技術 中’晶圓在微影及蝕刻加工模塊之間來回傳送以及蝕刻及 光阻製程本身可引起產品污染及缺陷。LLE製程包括第一 微影(lithographic)(Ll)光阻圖案之形成及安定化以及之 後的第二微影(L2)圖案之形成。已揭示了多種光阻安定化 4 94925 201118924 技術,如離子注入、UV固化、熱硬化、熱固化及化學固化。 美國專利申請案公開第US 2008/0199814 A1號(汾 等)揭示了一種外塗化學固化技術,於該技術中將定影液塗 覆·於光阻圖案上’該定影液包括溶劑、含有至少兩個與光 阻聚合物中的錯定基團反應之官能基之定影化合物、以及 視需要之添加劑,諸如催化劑、表面活性劑及聚合物。雖 然LLE製程包含之製程步驟比SADP及LELE製程之製程步 驟少’但是難免發生以下問題:在光阻安定化過程中之圖 案變形;在L2光阻之塗覆/軟烘烤製程中之L1光阻層與 L2光阻層間之互混;在L2之曝光/顯影製程中之u圖案 顯影。 本領域持續需要解決一個或多個與上述現有技術相 關之問題之微影方法。 【發明内容】 於本發明之第一態樣,提供形成電子裝置之方法。該 方法包括:(a)提供包括待圖案化之一層或多層層體之半 導體基板;(b)於該一層或多層層體上形成光阻圖案,其 中該光阻圖案包括第一複數個開口;(c)使用有效地使該 光阻圖案表面呈鹼性之材料處理該光阻圖案;(d)於硬烘 烤製程中熱處理該光阻圖案;(6)將組成物層塗覆於該第 複數個光阻圖案之開口中,該組成物包括樹脂成份及酸 產生劑;(e)將該層曝露於使該酸產生劑生成酸之條件;以 及(f)使顯影劑溶液接觸該光阻圖案及該層。 於本發明之又一態樣,提供經塗覆之基板。經塗覆之 5 94925 201118924 基板包括:(a)包括待圖案化之一層或多層層體之半導體 基板;(b)於該待圖案化之一層或多層層體上之光阻圖 案,該光阻圖案包括第一複數個開口且具有鹼性表面;以 及(c)於該光阻圖案之第一複數個開口中之組成物層,該 組成物包括樹脂成份及酸產生劑。 【實施方式】 本發明可實現改進之檄影圖案化技術,如用於接觸孔 及溝槽之形成之收縮製程(shrink process);以及實現具 有各式形狀(諸如環或柱幾何形狀)之光阻圖案之圖案化。 將參考第1A圖至第1H圖來說明本發明之實施態樣, 該等圖為本發明之微影收縮製程之例示流程圖。雖然該例 示製程為接觸孔之收縮製程,但應明白該製程可應用於電 子裝置製造中之其他收縮應用。本發明所使用之術語“接 觸孔”係包括通孔。典型地,接觸孔形成於一層或多層電 介質材料中,如一層或多層氧化物層(例如經摻雜或無摻雜 之氧化矽層),且用於形成該接觸孔底部之下方特徵為導體 或半導體,如金屬或半導體層或區域。該接觸孔可例如將 兩層金屬層連接或將金屬層與半導體基板之活性區域連 接' 第1A圖描繪基板100,其可包括各式層體及形成於該 等層體表面上之特徵。該基板可為以下材料:例如半導體 (如石夕或化合物半導體(如III_V或II- VI))、玻璃、石英、 陶瓷、銅等。典型地,該基板為半導體晶圓,如單晶矽或 化合物半導體晶圓,且可具有一層或多層層體以及形成於 6 94925 201118924 " 該等層體表面上之圖案化特徵。可將待圖案化之一層或多 層層體102提供於基板1〇〇上。視需要地,例如當欲於^ 板材料中形成溝槽時,該下方底基板材料本身可為經圖案 化者。於將下方底基板材料本身圖案化之例中,該圖案將 被視為待形成於該基板之層中。 該等層體可包括例如,一層或多層導電層,如鋁、銅、 !目、组、鈦、鶴、合金、該等金屬之氮化物或石夕化物、經 摻雜之非晶矽層或經摻雜之聚合矽層;一層或多層電介質 層,如氧化石夕層、氮化石夕層、氧氮化石夕層或金屬氧曰化物層; 半導體層,如單晶矽層;以及上述層體之組合。待蝕刻之 層體可藉由多種技術形成,如化學氣相沉積法(cvd),如電 漿輔助CVD、低壓CVD或外延生長;物理氣相沉積法(pvD), 如應射法或蒸發,或電鍵法。該待钱刻之一層或多層層體 102之特定厚度可視材料及所形成之特定裝置而定。 *視2姓刻之特定層、膜厚度及欲使用的光微影材料及 製程而定,較佳將硬遮罩層1〇3及/或底部抗反射塗層 贿)104設置於層體1〇2上,其中該底部抗反射塗層 (BARC)l〇4上將塗覆光阻層。使用硬遮罩層可能為所欲. 者例如與非常薄的光阻層一起使用時,其中待姓刻之層 體需顯著的姓刻深度、及/或其中特定的飯刻劑具有弱光阻 選擇〖生虽使用硬遮罩層時,待形成之光阻圖案可轉移至 該硬遮罩層,該硬遮罩層轉而可用作姓刻下方層1〇2之遮 罩。適合的硬遮罩材料及形成方法為本領域所習知。典型 的材料包括例如鶴、鈦、氮化鈦、氧化欽、氧化錯、氧化 7 94925 201118924 紹、氧氮化紹、氧化铪、非晶碳、氧氮化石夕及氮化石夕。硬 遮罩層103可包括單層或不同材料之多層。該硬遮罩層可 藉由化學或物理氣相沉積技術形成。 曰 底部抗反射塗層1〇4可能為所欲者,否則該基板及/ 或下方層在光阻曝光過程甲會反射相當量的入射輻射,從 而負面影響所形成的圖案之質量。此塗層可改進聚焦深 度、曝光寬容度、線寬一致性及CD控制。抗反射塗層典型 用於下述:光阻曝光於深紫外光(3〇〇nm或更短波長),例 如KrF準分子雷射光(248 nm)、ArF準分子雷射光(193 nm)、電子束及軟X射線等。抗反射塗層1〇4可包括單層或 由不同層組成之多層。適合的抗反射材料及形成之方法為 本領域所習知。抗反射材料可商業上獲得,如羅門哈斯電 子材料有限公司(Rohm and Haas Electronic Materials LLC,Marlborough,MA USA)販售之商標名為AR之抗反射 材料’如AR™ 40A及AR™ 124抗反射劑。 第一光敏組成物係塗覆至基板而於抗反射層1〇4(若 有該層)上’以形成第一光敏層1〇6。本發明所使用之術語 “光敏材料”、“光敏組成物”及“光阻”係可交替使用 者。適合的光阻材料為本領域所習知,包括如丙烯酸酯系、 紛链清漆系及石夕化學物系之光阻材料。適合的光阻係揭示 於如美國申請案公開第US20090117489 A1號、第 US20080193872 A1 號、第 US20060246373 A1 號、第 US20090117489 A1 號、第 US20090123869 A1 號以及美國 專利第7, 332, 616號中。適用於本發明之方法之光阻材料 8 94925 201118924 ·' 包括正向作用材料及負向作用材料兩種。適合的正向作用 、材料包括正向作用化學增幅光阻’其進行該組成物之〜種 或多種成份之酸不安定基的光酸促進去保S蔓反應’以使> 光阻塗層之曝光區域比未曝光區域更易溶於水性顯影劑 中。典型的光阻樹脂之光酸不安定基包括含有三級非 基碳(如第三丁基)之酯基或含有共價鍵聯至該酯之竣基氧^ 之三級脂環碳(如曱基金剛烷基)之酯基。亦典型的為確駿 光酸不安定基。 3玄光敏組成物包括樹脂成份及光活化成份。該樹p y 佳具有賦予該光阻鹼性水溶液顯影性之官能基。例如,典^ 型為包括極性官能基,如羧基或羧酸酯之樹脂黏合劑。組 成物中樹脂成份之用量足以使該組成物之曝光層於顯影 溶液(如鹼性水溶液)中顯影。該樹脂成份典型地佔光Z之 總固體之約70至約97重量〇/〇。 該光敏組成物進一步包括光活化成份,該光活化 之用量足以在曝光至活化轉射時於該組成物之塗層刀 潛像。例如,該光活化成份適合以光阻之總固體約f 重量%的量存在。於光阻級餘中,典型的光活化成2〇 酸產生劑。適合的PAG已為化學增幅光阻領域所習知= 括鏑鹽,如三苯基錄鹽、確基节基衍生物、續酸醋、重^ 甲烧衍生物、乙二職生物、N_經基亞胺化 =
衍生物及含齒素三哄化合物。可使用一種或多種旨 光阻典型之視需要I 氣氧化敍(麵)或四丁基_銨,其可 94925 9 201118924 凸影像的解析度。對於在193 nm成像之光阻而言,典型的 添加鹼為受阻胺,如二氮雜雙環十一碳烯或二氮雜雙環壬 烯。該添加鹼係適合地以相對較小的量使用,如相對於總 固體約0. 03至5重量%。 用於本發明之光阻亦可含有其他視需要之材料。例 如’其他視需要之添加劑包括抗條痕劑、增塑劑及增速劑。 除了填料及染料可以相對較大濃度存在外,該等視需要之 添加劑典型地將以較小濃度存在於光阻組成物中,例如約 0. 1至10重量%(以光阻之乾燥成份之總重量計)。 適合的負向作用光阻典型地含有交聯成份。該交聯成 份典型地作為單獨的光阻成份存在。胺系交聯劑典型的為 三聚氰胺,如Cymel三聚氰胺。適用於本發明之負向作用 光阻組成物包括曝露於酸時會固化、交聯或硬化之材料以 及本發明之光活化成份之混合物。特別有用的負向作用組 成物包括樹脂黏合劑,如盼系樹脂、交聯劑成份及光活化 成份。該等組成物及其應用係揭示於歐洲專利案第 EP0164248B1號及第EP0232972B1號及美國專利案第 5, 128, 232號。用作為樹脂黏合劑成份之典型的紛系樹脂 包括酴酿清漆及聚(乙烯基紛),例如上述者。典型的交聯 劑包括胺系材料(包括三聚氰胺、乙炔脲)、苯胍胺系材料 及脲系材料。通常最典型的為三聚氰胺-甲醛樹脂。該等交 聯劑可商業上獲得,如Cy.tec Industries販售之商標名為 Cymel 300、301 及 303 之三聚氰胺樹脂;Cytec Industries 販售之商標名為Cyme 1 1170、1171、1172之乙炔脈;Teknor 10 94925 201118924
Apex公司販售之商標名為Beetle 60、65及80之脲系樹 脂;以及Cytec Industries販售之商標名為Cymel 1123 及1125之苯脈胺樹脂。對於在次-200 nm波長(如193 nm) 成像而言,典型的負向作用光阻係揭示於國際申請案公開 第 WO 03077029 號。 適用於本發明之光阻通常由下列的習知步驟製備。例 如,光阻可藉由將該光阻之成份溶於適合的溶劑而製成塗 覆組成物’該溶劑之實例為二醇醚,如2-甲氧基乙基酯(二 甘二曱醚)、乙二醇單曱醚、丙二醇單甲醚;丙二醇單曱醚 乙酸酯;乳酸酯,如乳酸乙酯或乳酸甲酯;丙酸酯,特別 是丙酸曱酯、丙酸乙酯及乙氧基丙酸乙酯;赛路蘇酯,如 乙酸甲赛璐蘇;芳香烴,如甲苯或二甲苯;或酮,如甲乙 酮、環己酮及2-庚酮。典型地’該光阻之固體含量於約2 至25重量%(以光阻組成物之總重量計)間變化。該等溶劑 之混合物亦為合適者。 本發明之方法可使用多種成像波長之輻射,如具有次 -400 nm、次*"300 nm或次-200 nm之曝光波長,典型的曝 光波長為卜線(如5 nm)、248 nm及193 nm,以及EUV及 157 nm。於例示性態樣’該光阻適合於次-200 nm(如193 nm) 成像。於該等波長,雖然可使用乾燥處理’但典型使用浸 沒式微影。於浸沒式微影中,在曝光過程中將折射率介於 約1與約2之間之流體(即浸沒流體)置於曝光工具與光阻 層之間。頂塗層典型地設置於光阻層上,以防止浸沒流體 與光阻層直接接觸’從而避免光阻之成份滲進浸沒流體中。 11 94925 201118924 光敏組成物可藉由旋塗、浸塗、輥塗或其他傳統塗覆 技術而塗覆至基板。其中典型的為旋塗。對於旋塗而言, 可根據所使用之具體塗覆儀器、溶液之黏度、塗覆工具之 速度及旋塗所需之時間調節塗覆溶液之固體含量以獲得所 欲之膜厚度。光敏層106之典型的厚度為約5〇〇至15〇〇 埃(A)。該第一光敏層可繼而經軟供烤以使於該層之溶劑含 量最少化,藉此形成不黏塗層且改進該層對基板之黏合 性。軟烘烤可於熱板上或供箱中進行,典型的為熱板。軟 烘烤之溫度及時間視例如光敏層之特定材料及光敏層厚度 而定。典型的軟烘烤溫度為約90至15〇。〇:,時間為約3〇 至90秒。 若第一光敏層106欲以浸沒式微影工具曝光,如193 mn浸沒式掃描器,則可將頂塗層(未標示)設置於光敏層 106上面。該頂塗層之施用可作為浸沒流體與下方光敏層 之間之阻障。這樣,可最小化或避免光敏組成物之成份滲 入浸沒流體,而該.渗入可能導致污染光學透鏡、以及改變 有效的折射率及浸沒流體透光特性。適合的頂塗組成物可 商業上獲^•,如GPTICGAT™頂、塗材料(如QC™ 2_ (R〇hm arn^Haas Electronic Materials))或為本領域習知,例如 揭示於2008年12月31日申請之美國臨時申請案第 61/204’贿號及美國專利申請案公開第綱㈣2偏 號。該等組錢可#由任何上述_絲組成物之適合方 法塗覆至該紐層上,典型的方法為餘法。該頂塗層厚 度典型地為λ/4η(或Λ/4γ^奇數倍),其中λ為曝光輻 94925 12 201118924 ·· 射之波長,η為頂塗層之折射率。若使用頂塗層,第一光 敏層106可在塗覆頂塗層組成物之後而非在塗覆頂塗層之 ,前進行軟烘烤。這樣,可於單一的熱處理步驟中去除兩層 之溶劑。 曰 之後,第一光敏層106通過第一光遮罩11〇曝光於活 化輻射108,以生成曝光區域與未曝光區域之間之溶解性 差異。用於第6Α圖之曝光製程之遮罩u〇包括呈圓形圖案 (如圖所示)或交叉線圖案之接觸孔圖案。對於正向作用材' 料而言,如所示,該光遮罩具有逯光及不透光區域,其中 透光區域對應於光敏層中在後續顯影步驟中待去除之區 域。對於負向作用材料而言,該不透光區域對應於待顯影 去除之光阻層部分。視曝光工具及光敏組成物成份之不〜 同,曝光能典型地為約1至100亳焦耳/平方公分(mJ/cm2)。 本發明所述之將光敏組成物曝光於活化該組成物之輕射係 指該輻射能於光敏組成物中形成潛像,諸如藉由引起光活 化成份之反應(如藉由自光酸產生劑化合物產生光酸)。該 光敏組成物典型地藉由短曝光波長而光活化,特別是次 -400 nm、次-300 nm或次-200 nm曝光波長,典型的曝光 波長為 I-線(365 nm)、248 nm 及 193 nm,以及 EUV 及 157 nm ° 曝光第一光敏層106之後,該光敏層之曝光後焕烤 (PEB)於高於該層之軟化點之溫度.下進行。pEB可於熱板或 烘箱進行。PEB之條件取決於例如光敏層之特定材料及厚 度。PEB典型地於約別至15〇t之溫度下進行,時間為約 13 94925 201118924 30至90秒。 笛2後’顯影曝光後光敏層1〇6以形成第1B圖所示之 第一光阻圖案1G6’。雖然顯影劑材料取決於光敏層咖 =定= = = =劑及顯影技術為本領域所 溶液,如氮氧化四烧基敍溶液,如〇.26N氫氧化四甲氧^ 二S’將第一光阻圖案1〇6’於第-硬烘烤製程 中1理以自該光阻進-步去除溶劑,從而形成第ic ^硬=光阻圖案置。該硬埃烤典型地於熱板或烘箱 ^仃’且典型地於約隱或更高溫度,如Μ幻 進行,時間為約30至12〇秒。 下 ^考第1D圖,該硬料後之総圖案106,,係使用可 性使該第—光阻圖案之表面呈驗性之材料處理。該崎 ^止後續塗覆於該光_案上之綠層之曝光過程 例如,於正向作用光敏層之例,阻止緊鄰於誃 沾經驗處理之光阻圖案之區域中的酸催化去保護反應: 、-果’該光敏層的部分在顯影之後將保留在彼等區域中。 ^然不限於此’但是特別適合的材料包括驗性材料以 、~鹼性材料不同之表面活性劑。確. 進於該經㈣_處理之光關1私該表面雜劑促 一致的塗覆層之形成。 -上之第-光阻之實質上 於、奄二L材料可呈各式形式’其可藉由將固體化合物緣 的材;::形成溶液形式。用於處理光阻圖案之適當 材料包括例如水性系顯影劑,如四級氩氧化錢溶 94925 14 201118924 液,如氮氧化四燒基錄溶液,如〇 26當量濃度( ㈣氫氧化四甲基録(TMAH)。該祕材料以及其他組 成份所狀溶㈣料不應溶解下方絲或應最小化下 阻的溶解。於她成物之雜㈣(総劑,如水 典型地以約1至丨0重量%的量存在(以總組成物計)。寻) 光阻圖案之處理組成物的適合表面活性劑包括具有 兩親性之表面活性劑,該兩親性意指可同時具有親水性及 疏水性。兩親性表面活性劑具有一個或多個對水有強親和 力的;以及親有機性且排斥水之長疏水性尾部。適合的表 面活性劑可為離子性(即陰離子性、陽離子性)表面活性劑 或非離子性表面活性劑。表面活性劑之進—步實例包括碎 酮表面活性劑、聚(伸烷基氧化物)表面活性劑及含氣化合 物表面活性劑。用於水溶液之適合的非離子性表面活性劑 包括,但不限於辛基及壬基酚乙氧基化物(如TRITON® X-114、X-100、X-45、X-15)及分支之二級醇乙氧基化物(如 TERGITOL™ TMN-6 陶氏化學公司(The Dow Chemical
Company, Midland, Michigan USA))。表面活性劑之再進 一步的實例包括醇(一級及二級醇)乙氧基化物、胺乙氧基 化物、糠苷、還原葡糖胺、聚乙二醇、聚(乙二醇-共-丙二 轉攝示於 McCutcheoris Emulsifiers and Detergents (2000 年由 Glen Rock, N. J.之 Manufacturers Confectioners Publishing Co.出版’ North American
Edition)之其他表面活性劑。 炔屬二醇(306七71611丨0(1丨〇1)衍生物之非離子性表面 15 94925 201118924 活性劑亦適合,其包括下式之表面活性劑:
其中,匕及R4為具有3個至10個碳原子之直鏈或分支鏈 烷基鏈;R2及R3為Η或適當地具有1個至5個碳原子之烷 基鏈;以及m、n、p及q為0至20之數字。該等表面活性 劑可商業上自 Allentown, Pa.之 Air Products and Chemicals,Inc.,商標名為 SURFYNOL®及 DYNOL®獲得。 其他用於本發明之塗覆組成物之適合的表面活性劑 包括其他聚合化合物,如三嵌段Ε0-Ρ0-Ε0共聚物 PLURONIC® 25R2、L121、L123、L31、L81、L101 及 P123 (BASF, Inc. ) 〇 特別適合的表面活性劑包栝胺類、典型地為一級及二 級胺,即分別包括一個或多個一級胺基及一個或多個二級 胺基之胺;以及其混合物。除了 一級及/或二級胺基之外還 可含有三級胺基。典型地,該胺為多官能度胺。該胺可為 聚胺,如二胺、三胺或四胺。適合的一級胺包括具下式(I) 之化合物: 16 94925 201118924
其中,R係選自視需要經取代之烷基,如視需要經取代之 C1-C6烷基,如甲基、乙基或丙基,其中典型的為乙基。 其他適合的一級胺包括具下式(II)之聚(烯丙基胺):
其中’匕係選自氫及視需要經取代之烷基,如C1-C3烷基; R2係選自視需要經取代之伸烧基,如C1-C6伸院基,典型 地為亞曱基或伸乙基;η為大於或等於3之整數。於式(N-l I) 所示之一級胺之實例中,R!為氫,為亞甲基。其他適合 的胺包括下列通式(ΙΠ)、(IV)及(V)所示之胺··
R2 (V) 其中,Ri及R2各獨立為氫原子或具有1個至個碳原子 之烷基,η為1之10之整數。其他適合的脍包括下列: nh2
Α-1 Α-2
HNJ H2N N Η Η Η Α·3 94925 17 201118924 nh, H2Ns 、N〜N、 h2n. 、n〜nh2 rNH2 HN^s s nh2 NH2 A-5 、nT^ Α·6 A-4
H2N 〜S/NH2 q-o A-y 、裊I別較佳的為參(2一胺基乙基)胺(TAEA)。 中,如二以相對較少的量存在於該組成物 固體之重旦斗 罝°/°’如〇·01至1重量%(以組成物之總 成物成份)中總固體為除了溶劑載體之外的所有組 性劑之€處^括成_物除了包紐性材料及表面活 該組成物除了包括驗^ 種視需要之成份。例如, 外,還可包括-種或多種溶==:咖 之溶劑材料以及其你上所述’用於鹼性材料 、 。且成物之溶劑材料不應溶解或應 =、=先阻。因此,適合的溶劑取決於特定的下 =;且3且可包括水及醇類(如正丁醇)。該視需要之成 •4H""種或多種驗產生劑化合物,如熱驗產生劑化 。物及/或光鹼產生劑化合物。 、曰人光阻圖案之處理組成物可藉由下述製備:以任意順序 ^該驗性材料及表面活性劑成份,以及任何額外成份, 例如溶劑及驗產生劑化合物。一種或多種成份可作為固體 94925 201118924 或以適合的溶劑製成之預混合溶液添加。 較佳地,該驗處理包括以四級氫氧化錢及胺處理。該 四級氫氧化銨材料及胺可同時塗霜 设王悉枚,如使用-去之 預混合組成物或同時塗覆彼此分開之該兩種材 原位形成該組成物)。較佳地,兮# ; 序塗覆。該四級氮氧化銨及胺材财錢材料及胺依 而塗覆’且可藉由例如旋塗、浸;了 =覆== 沉積(CVD)或其他傳統塗覆技術而塗覆。其中,^學乳相 材料之旋塗。典型地,該四級 及胺二型為液體 如離子水等潤洗該經表面處理吏用去諸 氫氧化銨及胺時,該胺可呈水溶二塗霜田2 *覆該四級 :。一理,;::== 潤洗,以去除過量的組成物。 去離子水 表面處理使得第一光阻圖 光:圖案⑽之原始CD_減小。確二:寸(⑻比 於第Ina圖荦在表面處理過u 讀係歸咎 驗性且其線寬粗糙度比經處理前t = 其呈 表面處表線寬粗繞度小。 基板進行熱處理由於第二硬供烤製程中對該 可藉〜二硬烘烤之適當〜 =。該熱處理步驟典型精確地調節 處〜決於光阻圖案之特定的材料該熱 从及該 94925 201118924 圖案之所欲CD變化。視需要之熱處理之典型條件包括約 120至200。(:之溫度以及約6〇至120秒之時間。 將上述第二光敏組成物塗覆於第一光阻圖案1〇6"及 BARC層1〇4上,以形成第1E圖所示之光敏層114。該第二 光敏組成物可與該第—光敏組成物相同或不同且除了另 行說明外’第二光敏組成物可使用相同方法(包括上述關於 第-光敏層之材料及條件)塗覆及加卫。儘管該第一光敏組 成物可為正向仙或負向仙材料,該第二紐組成物的 调性為正向作用。該組成物之選擇將取決 應用及幾何。於此例示方法中,第男… 之特疋 h一 第―及第二綠組成物皆 為正向個材料。之後,第二光敏層114可進行軟炉烤。 2用浸沒式微影卫具曝光第二光敏層114, 删114上。_頂塗層,第 =層可在塗覆頂塗層組成物之後而非之前進行軟 第二光敏層114係以整片曝光步驟㈣光於活化輕射 理並敏層於曝光後供烤過程中進行熱處 H衫。該第—光阻圖案1G6,,之 阻止臨近該表面區域之第二締層114之表面區域^ 未反應之第二光敏組成物之層m,保bt / 106上。所得的㈣後影像具有比第 光阻圖案 光阻圖案改進(即減小)之表面粗 =顯影之後之 第二光敏層㈣之後,絲調整^在如第1F圖所示之 之接觸孔直徑而增加覆蓋於第一光阻圖案 94925 20 201118924 敏層114’之圖案厚度,則可重復一次或多次自第一次硬烘 烤至第二光敏組成物之額外光敏層顯影之一系列製程步驟 (如虛線箭頭所示)。 在第二光敏層顯影之後,使用經改性之第一光阻圖案 106"作為蝕刻遮罩而選擇性蝕刻BARC層104,以曝露出下 方硬遮罩層103。之後’再次使用經改性之光阻圖案1〇6', 作為蝕刻遮罩而選擇性地蝕刻該硬遮罩層,從而獲得第1G 圖所示之圖案化BARC及硬遮罩層1〇4,、1〇3,。用於蝕刻 BARC層及硬遮罩層之適合的蝕刻技術及化學物質為本領 域所習知且將取決於例如該等層體之特定材料。典型的為 乾法#刻製程’如反應性離子飯刻。之後,使用習知技術(如 氧電漿灰化)將經改性之第一光阻圖案1〇6"及圖案化 BARC層104’自基板去除。 使用硬遮罩圖案1〇3,作為餘刻遮罩,而選擇性姓刻一 層或多層層體102。用於餘刻下方層ι〇2之適合的㈣技 術及化學物質為本領域所習知 \ ’白犬〇,興型為乾法触刻製程,如 反應性離子餘刻。之後可估7由 J使用傳統技術(如乾法蝕刻製程, 如反應性離子钱刻)將圖宏Α职 阐案化硬遮罩層103,自基板表面去 除。所料構為_接•特徵圖案ιΐ6,如第ιη圖之橫 截面示意圖及頂視圖所示。 、 於另一個例示方法Φ ,^ . ιηβπ χ 所欲者為直接使用經改性之第 一光阻圖案106而未使用 文用硬遮罩層103來圖案化層體 102。是否可實施以光阻圖鸯 累直接圖案化將取決於諸如下列 因素:所涉及之材料、光 %阻選擇性、光阻圖案厚度及圖案 94925 21 201118924 尺寸。
於另一個例示方法中,非光可成像熱敏組成物於某些 情況下可用於取代第二層114之光敏組成物。舉例來說, 於第二光敏層114使用整片曝光之製程中,熱敏材料可取 代該光可成像材料。除了改以熱敏成份(如熱酸產生劑 (TAG))取代光活化成份外,熱敏組成物為上述關於第一光 敏組成物者。適合的TAG為本領域所習知。例如,於基於 去保5蒦反應之材料的例子中,適合的τAG包括任何加熱時 生成可使熱敏層114之酸不安定基鍵斷裂之酸(特別是強 酸,如磺酸)者。典型地,該熱酸產生劑於高於9〇°C,如 尚於120 C或高於150 °C之溫度下活化。該熱敏層係加熱足 夠長的時間以使該熱酸產生劑與該組成物之樹脂成份反 應。熱酸產生劑之實例包括硝基苄基曱苯磺酸酯,如2-硝基苄基曱苯磺酸酯、2, 4-二硝基苄基甲苯磺酸酯、2, 6-二硝基苄基甲苯磺酸酯、4-硝基苄基曱苯磺酸酯;苯磺酸 酯,如2-三氟曱基-6-硝基苄基4-氯苯磺酸酯、2-三氟曱 基-6-硝基苄基4-硝基苯磺酸酯;酚系磺酸酯,如苯基,4-曱氧基苯罐酸g旨(phenyl, 4-methoxybenzenesulfonate); 有機酸之院基銨鹽,如10-樟腦續酸之三乙基銨鹽。各式 芳香(蒽、萘或苯衍生物)磺酸胺鹽可用作TAG,包括揭示 於美國專利案第3, 474, 054號、第4, 200, 729號、第 4, 251,665號及第5, 187, 019號者。典型地,該TAG於170°C 至220°C溫度下具有非常低的揮發度。TAG之實例包括King Industries,Norwalk,Connecticut USA 出售之商標名為 22 94925 201118924 NACURE™、CDX™ 及 Κ-PURE™ 的 TAG,如 NACURE 5225、 CDX-2168E、K-PURE™ 2678 及 K-PURE™ 2700。於組成物 中熱敏成份之含量典型地以約1至2〇重量%(以組成物之總 固體計)的量存在。 本發明可用於各式製造電子裝置之情況。例如’本發 明於作為收縮製程(如用於形成接觸孔及溝槽之收縮製程) 之基礎有其特殊用途’亦可提供改進之光阻圖案線寬粗糙 度。 第2圖為接觸孔形成之流程圖,其大體上如關於上述 第1圖說明。第2A圖為經圖案化及硬烘烤第一光敏層以及 曝光後烘烤以形成具有接觸孔圖案之光阻圖案1〇6,之基 板之頂視圖。第2B圖為經驗性表面處理以形成驗性表面 112之基板。第2C圖為形成第二光敏層114後之基板。第 2D圖顯不經第二光敏軟烘烤、整片曝光及顯影後之基板。 第2E圖為沿著第2E圖之虛線F_F所獲得之橫截面示意 圖。軟烘烤引起鹼性材料之擴散,以形成鹼性區域112,。 由於於區域112之第二光阻層之毒化,接觸孔開口變得比 原始接觸圖案小。於該例示製程中,用於形成光阻圖案 106之第一光敏組成物具有高於用於第二光敏層114之第 二光敏組成物之特徵性曝光後烘烤溫度。藉由在整片曝光 後使用較低的第二組成物曝光後烘烤溫度,於該接觸孔之 被毒化區域112,使得接觸孔之臨界尺寸變小。由於光限圖 案106’所具有之高於後續苐二光敏層之曝光溫度之特徵 性曝光後烘烤溫度,因此光阻圖案1〇6’不會被去除。 94925 23 201118924 第3圖為本發明之接觸1 w i 製程之流程圖,其中形成_⑽⑽ltia 第3A圖至第3C圖之|y程i )接觸孔117。 製短相η,包括签 第2A圖至第2C圖之 製私相同=括第-光敏圖案化、第二光敏層塗覆、整片 曝光、曝級㈣及顯影。於此例中,
==:高的特__烤溫度: 原始接觸孔116收縮,以及形成間隙接觸孔117(如第3D 圖至第3E圖)。間隙孔典型地形成較高密度之光阻圖案接 觸孔圖案,如76nm直徑/i4〇nm節距孔。 第4圖為用於形成環形圖案118之製程之流程圖。第 4A圖至第4C圖之製程與上述關於第2,圖至第%圖之製 程相同’包括第-光敏圖案化、第二光敏層塗覆、整片曝 光、曝光後烘烤及顯影。於此例中,在整片曝光之後使用 之曝光後烘烤溫度係高於該第一光阻圖案之特徵性曝光後 烘烤溫度。結果,初始接觸孔116收縮,且可去除第一光 阻圖案106 (如第4D圖至第4E圖)而獲得環形圖案118。 環形圖案典型地形成半密集或隔離之接觸孔,如88nm直徑 /250nm節距及84nm直徑/700nm節距之孔。 如第5圖所示,本發明之方法亦可用於形成柱形圖 案。該製程大體上相同於上述關於第4圖之製程者,除了 選擇製程條件以使得如第二綠層114完全碰性材料毒 化。該毒化可藉由例如於鹼性處理製程施加增加量之鹼性 材料及/或藉由使用較高的第二光敏層軟烘烤溫度而實 現。藉此,第二光敏層在整片曝光及顯影之後不會被去除。 94925 24 201118924 - 以下非限制性實施例用於說明本發明。 實施例 實施例1 :雙重曝光輔助之接觸收縮製程(SPADE) L1 光阻聚合物(聚(I AM/ a -GBLMA/0D0TMA/HAMA))之合成 將10. 51公克(g)的2-甲基丙烯酸1-異丙基金剛烷基 酯(IAM)、6. 82g的2-曱基丙烯酸2-侧氧基-四氫呋喃一3 基酯(a -GBLMA)、6. 36g的2-曱基丙歸酸3-侧氧基~4, 1 〇_ 二氧雜-三環[5.2. 1.02’6]癸-8-基酯(0D0TMA)及 6.3lg 的 2-甲基丙烯酸3-羥基-金剛烷基酯(HAMA)溶於27g的四氣 °夫B南(THF)中。該混合物藉由氮氣鼓泡2 0分鐘而脫氣。將 11 g的THF填充入裝配有冷凝器、氮氣入口及機械授掉。 之500毫升(ml)燒瓶中,並將該溶液加熱至6720將5 的2, 2-偶氮二異丁酸二曱酯(佔全部單體之17莫耳 於5g的THF且填充入燒瓶中。將該單體溶液以ι6. 〇毫 /小時(mL/h)之速度饋送入反應器3小時又30分鐘。收% 將聚 合作用混合物於67°C再攪拌30分鐘。之後,將5g的了卵 添加至該反應器中並將該聚合作用混合物冷卻至室渡 1 · 0公升(L)的異丙醇中沉澱:。過濾之後,乾燥該聚合物、, 再溶於50g THF中,於1. 1 L的異丙醇中再沉澱、過廣= 於45°C真空烘箱中乾燥48小時,獲得25. 4g的聚(Ιαμ*/Ι -GBLMA/ODOTMA/HAMA)聚合物(Mw=7, 934 及 Mw/Mn=q , α Α·46), 如下所示: 9492s 25 201118924
L1光阻製劑 將3. 169g上述所形成之聚合物溶於96.38g的70重 量%丙二醇單曱基醚乙酸酯(PGMEA)與30重量%環己酮之溶 劑混合物中。於此混合物中添加〇 4〇5g的(金剛烷_丨基曱 氧基羰基)-二氟-曱烷磺酸三苯基錡鹽、Q 〇41g的丨_(第 二丁氧基幾基)-4-羥基哌啶及〇· 〇〇5g的polyfox® pF_656 表面活性劑(Omnova Solutions Inc.)。將所得混合物於 滾筒上滾動6小時’再通過具有〇. 2微米孔徑之特氟隆過 濾器過濾,以形成正向作用光阻組成物。 表面處理溶液製劑 藉由將 O.Olg 的(TAEA) (Sigma-Aldrich)添加至 99.99g 的表面活性劑溶液(〇ptiPatternTM Clear-I,Air Products and Chemicals, Inc.)而製備表面處理溶液。所 得溶液通過具有0. 1微米孔徑之尼龍過濾器過濾。 接觸孔之第一微影(L1)圖案化 於 TELCLEANTRACK™ LITHIUS™ i +塗覆器/顯影器 上將 AR™ 40A 抗反射劑(Rohm and Haas Electronic Materials)旋塗於300毫米(mm)矽晶圓上,以形成第一底 部抗反射塗層(BARC)。將該晶圓於215°C烘烤60秒,獲得 75奈米(nm)之第一 BARC膜厚度。之後,使用AR™ 124抗 26 94925 201118924 J 反射劑(Rohm and Haas Electronic Materials)將第二 BARC層塗覆於第一 BARC上,於2〇5°C烘烤60秒生成23nm 之頂部BARC層。 L1光阻製劑係使用該塗覆器/顯影器而塗覆於雙層 BARC之頂部上,並於110°C軟烘烤6〇秒以獲得1000A之光 阻膜厚度。將頂塗層(0CTM 2000頂塗材料,Rohm and Haas
Electronic Materials)塗覆於第一光阻層,使用asmL TWINSCAN™ Π: 1900i浸沒式掃描器(1.35數值孔徑,環狀 照明(0.8外部sigma/0. 6内部Sigma),Χγ_極化)通過具 有為各式臨界尺寸及節距之接觸孔圖案之二元遮罩板 (binary reticle)使用自 12· 5 至 87. 5mJ/cm2之各式劑量 曝光。再於ioo〇c對該晶圓進行曝光後烘烤(pEB)6〇秒,並 使用 MiCroP〇sit™ MF CD-26 顯影劑(Rohm and Haas
ElectronicMaterials)顯影12秒以提供帶有各式CD、不 同節距之接觸孔〇:/!〇圖案。(⑽影像之全焦距曝光矩陣 Μ)係藉由改變沿X方向之焦點偏移及沿γ方向之曝光劑 里而獲侍。C/H直徑以Hitachi CG 4000 SEM測量,結果 如表1所示。 固化及表面處理 於180 C硬洪烤該晶圓6〇秒。之後於後續製程中將該 晶圓曝露於表面處理化學物質,藉由該表面處理該晶圓首 先使用TEL GP喷嘴以2. 38重量% TMH之水溶液潤洗12 秒,再以該表面處理溶液製劑潤洗。 L2光阻加工 94925 27 201118924 α $ &棟矽晶圓上形成6 5 0 A膜厚度之旋塗速度將 EPIC 2098 光阻(R〇hm and Haas Electronic Materials) 塗覆於含有經表面處理之接觸孔圖案之晶圓上。於該塗覆 裔/顯影器上於120t軟烘烤該晶圓60秒。之後,將0C™ 2〇〇〇 頂塗層材料(R〇hm and Haas Electronic Materials) 塗覆於該晶圓上’並使用與第一微影製程相同之掃描器設 傷曝光’但使用整片曝光而不使用遮罩於固定焦距以曝光 齊1 夏 28. 7mJ/cm2、20. 5mJ/cm2 及 12. 3mJ/cm2 曝光。之後, 於9〇°C將該晶圓曝光後烘烤(PEB)60秒並使用
Micr〇positTM mf CD-26 顯影劑(Rohm and Haas Electronic
Materiais)顯影12秒。再次測量接觸孔直徑,結果如下列 表1所示。發現接觸孔圖案具有縮小之CD(相較於L1光阻 圖案上產生之CD之原始圖案)。另外’隨著第二江2)光阻 曝光劑量之減少可獲得接觸孔之更大收縮。 94925 28 201118924 L1曝光劑量 (mJ/cm2) L2曝光劑量 (mJ/cm2) 遮罩CD/節距 (nm/nm) 收縮之前的 CD (nm) 收縮之後的 CD (nm) CD變化 (nm) 47.5 28.7 70/120 79.3 ' 72.5 -6.8 50.0 76/140 87.6 78.9 -8.7 75.0 80/250 105 93.9 -11.1 60.0 84/250 102.3 90.6 -11.7 62.5 84/700 102.7 94.3 -8.4 52.5 90/700 102.3 96 -6.3 47.5 20.5 70/120 79.3 67.1 -12.2 50.0 76/140 87.6 75.2 -12.4 75.0 80/250 105 87 -18 60.0 84/250 102.3 85.2 -17.1 62.5 84/700 102.7 86.2 -16.5 52.5 90/700 102.3 90.1 -12.2 47.5 12.3 70/120 79.3 59.8 -19.5 50.0 76/140 87.6 64.3 -23.3 75.0 80/250 105 66.9 -38.1 60.0 84/250 ~「02. 3一~~ 59.2 -43.1 62.5 84/700 102.7 69.2 -33.5 52.5 90/700 102.3 75.8 -26. 5 實施例2:接觸孔節距分割 表面處理溶液製劑 藉由將2. 5 g的1重量% TAEA之去離子水溶液及〇 5 g 10重量% Tergitol™ TMN-6之去離子水溶液添加至97 g 的去離子水而製備表面處理溶液。所得溶液通過具有〇. 1 微米孔徑之尼龍過濾器過濾。 線及空間之第一微影(L1)圖案化 於 TELCLEANTRACK™ LITHIUS™ i+旋轉塗覆器/顯 影器上將 AR™ 40A 抗反射劑(Rohm and Haas Electronic Mater ia 1 s)旋塗於300 mm石夕晶圓上,以形成第一底部抗反 射塗層(BARC)。將該晶圓於215t烘烤60秒,獲得膜厚度 29 94925 201118924
為75nm之第一 BARC。之後,使用AR™ 124抗反射劑(Rohm and Haas Electronic Materials)將第二 BARC 層塗覆於第 一 BARC上,於205°C烘烤60秒生成厚度為23nm之頂部BARC
將實施例1之L1光阻組成物塗覆於雙層BARC之頂部 上,並於110°C軟烘烤60秒以獲得膜厚度為woo a之光 阻。將頂塗層(0C™ 2000頂塗材料,R〇hm and Haas Electronic Materials)塗覆於L1光阻層,並使用ASML TWINSCAN™ XT:1900i浸沒式掃描器(1.35數值孔徑,環形 照明(0. 8外部sigma/0. 6内部Sigma),χγ_極化)通過具 有為各式臨界尺寸之二元遮罩板以自15至75 mJ/cm2之各 種劑量曝光。再於ioo°c對該晶圓進行曝光後烘烤(pEB)60 秒,並使用 Microposit™ MF CD-26 顯影劑(Rohm and Haas Electronic Materials)顯影12秒以提供第一微影(L1)圖 案。以Hitachi CG 4000㈣測量CD,而用於此測量之遮 罩CD為76mn孔14〇nm節距,以給出於37 mJ/cm2之78.— 孔CD 〇 固化及表面處理 。之後於後續製程中將該
再以上述表面處理溶液製劑潤洗。 第二微影(L2) 於180°C硬烘烤該晶圓6〇秒 晶圓曝露於表面處理化學物質,
94925 30 201118924 ’ 膜厚度之旋塗速度將EPICTM 2098正光阻(Rohm and Haas Electronic Materials)塗覆於經表面處理之L1圖案上。 於120°C軟烘烤該晶圓6〇秒,再於該晶圓上塗覆〇c™ 2000 頂塗層材料(Rohm and Haas Electronic Materials)。使 用與第一微影製程相同之掃描器設備進行第二微影(L2) 但使用21 mj/cm2整片曝光而不使用遮罩。之後,該晶圓 於三種溫度(9(Tc、100Ϊ及1UTC)下曝光後烘烤60秒並
使用 Microposit™ MF CD-26 顯影劑(R〇hm and Haas Electronic Materials)顯影 12 秒。以 Hitachi CG 4000 SEM 測ϊ原始接觸孔CD(90nm)之變化。發現當將較高的pEB溫 度(110 C)用於L2製程時,於原始L1接觸孔之間形成間隙 孔(如第3圖所示)。當使用較低L2 pEB溫度(9〇。〇及1〇〇。〇 時,原始接觸孔亦收縮。 【圖式簡單說明】 將參考下述附圖說明本發明,圖中相似的參考數字表 示相似的特徵,其中: 第U圖至第則係本發^_隸㈣程之流程 圔, 圖第2A圖至第㈣係本發明之接觸孔形成製程之流程 圖; 第3A圖至第3E圖係本發明夕v . 〈又一接觸孔形成製程之 第4A圖至第4E圖係本發明 圖案之流程圖;以及 之形成環形(donUt-shaped) 94925 31 201118924 第5A圖至第5E圖係本發明之形成柱形圖案之流程 圖。 【主要元件符號說明】 100 基板 102 待触刻之一層或多層層體/下方層 103 硬遮罩層 103’ 圖案化硬遮罩層 104 底部抗反射塗層/抗反射層 104’ 圖案化BARC 106 第一光敏層 106’ 第一光阻圖案 106" 硬化的光阻圖案/硬烘烤後之光阻圖案 108 活化輻射 110 遮罩 112 改性之第一光阻圖案表面/鹼改性表面區域/鹼性 表面 112’ 鹼性區域 114 第二光敏層/熱敏層 114’ 未反應之第二光敏組成物之層/覆蓋於第一光阻 圖案之第二光敏層 116 蝕刻接觸孔特徵圖案/原始接觸孔 117 間隙接觸孔 118 環形圖案 32 94925

Claims (1)

  1. 201118924 •七、申請專利範圍: 1. 一種形成電子裝置之方法,包括: (a)提供包括待®案化之—層或多層層體之半導體基 板; ⑹於該-層或多層層體上形成光_案,其中該光阻 圖案包括第一複數個開口; (c) 使用有效地使該光阻圖案之表面呈祕之材料處 理該光阻圖案; (d) 於硬供烤製程中熱處理該光阻圖案; (e) 塗覆組成物層於該光阻圖案之第一複數個開口 中,該組成物包括樹脂成份及酸產生劑; (e) 將該層曝露於使該酸產生劑生成酸之條件;以及 (f) 使顯影劑溶液接觸該光阻圖案及該層。 2. 如申請專利範圍第丨項所述之方法,其中,該層為光敏 層,該酸產生劑為光酸產生劑,以及該使酸產生劑生成 酸之條件包括將該層曝光至活化輻射。 3. ^申請專利第2項所述之方法,其中,該使顯影劑 溶液接觸該光阻圖案及該層之步驟係形成第二複數個 開口 ’其中’該第二複數個開口係設置於該層中之由該 第一複數個開口所定義之體積中,且其具有小於該第一 複數個開口之直徑。 .如申叫專利範圍第3項所述之方法,其中,該使顯影劑 /谷液接觸該光阻圖案及邊層之步驟係去除該光阻圖案 並形成複數個來自該層之環形圖案。 94925 33 201118924 5. 如申請專利範圍第2項所述之方法,其中,該使顯影劑 溶液接觸該光阻圖案及該層之步驟係於該光阻圖案中 形成第二複數個開口,其中,該第二複數個開口相對於 該第一複數個開口係間隙式設置。 6. 如申請專利範圍第2項所述之方法,其中,該使顯影劑 溶液接觸該光阻圖案及該層之步驟係去除該光阻圖案 並形成複數個來自該層之柱形圖案。 7. 如申請專利範圍第2項所述之方法,其中,該將該層曝 露之步驟為整片曝光。 8. 如申請專利範圍第1項所述之方法,其進一步包括在該 使用有效地使呈鹼性之材料處理之步驟之後且在該塗 覆組成物層之步驟之前熱處理該經硬烘烤第一光阻圖 案。 9. 一種經塗覆之基板,包括: (a) 包括待圖案化之一層或多層層體之半導體基板; (b) 於該待圖案化之一層或多層層體上之光阻圖案,該 光阻圖案包括第一複數個開口且具有鹼性表面;以 及 (c) 於該光阻圖案之第一複數個開口中之組成物層,該 組成物包括樹脂成份及酸產生劑。 10. 如申請專利範圍第9項所述之經塗覆之基板,其中,該 層為光敏層,該酸產生劑為光酸產生劑。 34 94925
TW099120756A 2009-06-26 2010-06-25 形成電子裝置之方法 TWI449084B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US26960009P 2009-06-26 2009-06-26
US28168109P 2009-11-19 2009-11-19

Publications (2)

Publication Number Publication Date
TW201118924A true TW201118924A (en) 2011-06-01
TWI449084B TWI449084B (zh) 2014-08-11

Family

ID=42711855

Family Applications (3)

Application Number Title Priority Date Filing Date
TW099120756A TWI449084B (zh) 2009-06-26 2010-06-25 形成電子裝置之方法
TW99120755A TWI474378B (zh) 2009-06-26 2010-06-25 形成電子裝置的方法
TW099120758A TWI420571B (zh) 2009-06-26 2010-06-25 形成電子裝置的方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW99120755A TWI474378B (zh) 2009-06-26 2010-06-25 形成電子裝置的方法
TW099120758A TWI420571B (zh) 2009-06-26 2010-06-25 形成電子裝置的方法

Country Status (6)

Country Link
US (7) US8465901B2 (zh)
EP (3) EP2287668A1 (zh)
JP (5) JP5698924B2 (zh)
KR (5) KR101766289B1 (zh)
CN (3) CN101937838B (zh)
TW (3) TWI449084B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI552383B (zh) * 2013-08-16 2016-10-01 歐斯朗奧托半導體股份有限公司 用於在發光半導體組件中形成結構的光微影方法

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2287667B1 (en) * 2009-06-26 2013-03-27 Rohm and Haas Electronic Materials, L.L.C. Self-aligned spacer multiple patterning methods
EP2287668A1 (en) * 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
JP5753351B2 (ja) 2009-11-19 2015-07-22 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 電子デバイスを形成する方法
JP5542500B2 (ja) * 2010-03-30 2014-07-09 東京応化工業株式会社 レジストパターン形成方法およびレジスト組成物
KR20120027989A (ko) * 2010-09-14 2012-03-22 삼성전자주식회사 반도체 소자의 패턴 형성방법
KR101865296B1 (ko) * 2011-06-15 2018-06-07 삼성전자주식회사 반도체 장치의 제조 방법
CN102856190B (zh) * 2011-06-30 2015-04-01 中芯国际集成电路制造(上海)有限公司 条形结构的刻蚀方法
CN108594599B (zh) * 2011-07-08 2022-04-22 Asml荷兰有限公司 抗蚀剂材料、光刻图案化方法和氧化物的用途
US9064808B2 (en) 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US8609550B2 (en) * 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
CN103034048B (zh) * 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
CN102364389A (zh) * 2011-10-17 2012-02-29 深圳市华星光电技术有限公司 控制液晶显示装置接触孔孔壁角度的制作方法
CN102437018B (zh) * 2011-11-02 2017-11-24 上海华虹宏力半导体制造有限公司 用于改进蚀刻后晶圆内关键尺寸均匀性的烘烤方法及设备
US8604427B2 (en) * 2012-02-02 2013-12-10 Applied Materials Israel, Ltd. Three-dimensional mapping using scanning electron microscope images
JP6028357B2 (ja) 2012-03-22 2016-11-16 ソニー株式会社 ヘッドマウントディスプレイ及び手術システム
RU2015104902A (ru) * 2012-07-16 2016-09-10 Басф Се Композиция, используемая в изготовлении интегральных схем, оптических устройств, микромашин и механических прецизионных устройств
CN102751238A (zh) * 2012-07-27 2012-10-24 上海华力微电子有限公司 通孔优先铜互连制作方法
US9086631B2 (en) 2012-08-27 2015-07-21 Tokyo Electron Limited EUV resist sensitivity reduction
CN102832168A (zh) * 2012-09-11 2012-12-19 上海华力微电子有限公司 一种沟槽优先铜互连制作方法
CN102810510A (zh) * 2012-09-11 2012-12-05 上海华力微电子有限公司 一种铜互连制作方法
CN103839783B (zh) * 2012-11-21 2017-06-09 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
JP6119669B2 (ja) * 2013-06-11 2017-04-26 信越化学工業株式会社 下層膜材料及びパターン形成方法
CN104425225A (zh) * 2013-09-04 2015-03-18 中芯国际集成电路制造(上海)有限公司 三重图形的形成方法
JP6340304B2 (ja) * 2013-11-29 2018-06-06 富士フイルム株式会社 パターン形成方法、及び電子デバイスの製造方法
US9793268B2 (en) 2014-01-24 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for gap filling improvement
TWI653507B (zh) * 2014-02-07 2019-03-11 比利時商愛美科公司 用於減低微影製程後線寬粗糙度之電漿方法
KR20150136387A (ko) 2014-05-27 2015-12-07 삼성전자주식회사 반도체 소자의 제조 방법
US9715724B2 (en) 2014-07-29 2017-07-25 Applied Materials Israel Ltd. Registration of CAD data with SEM images
KR102270752B1 (ko) 2014-08-11 2021-07-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
TWI675258B (zh) * 2014-09-26 2019-10-21 日商東京應化工業股份有限公司 光阻圖型形成方法、光阻圖型分離劑、分離圖型改善化劑、光阻圖型分離材料及分離圖型形成用之正型光阻劑組成物
TWI632437B (zh) * 2014-11-07 2018-08-11 羅門哈斯電子材料有限公司 用於形成凸紋影像的方法
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9754791B2 (en) * 2015-02-07 2017-09-05 Applied Materials, Inc. Selective deposition utilizing masks and directional plasma treatment
KR102370616B1 (ko) * 2015-02-09 2022-03-04 삼성전자주식회사 미세 패턴 형성 방법
US9633847B2 (en) * 2015-04-10 2017-04-25 Tokyo Electron Limited Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
US10935889B2 (en) * 2015-05-13 2021-03-02 Tokyo Electron Limited Extreme ultra-violet sensitivity reduction using shrink and growth method
US10061199B2 (en) * 2015-06-24 2018-08-28 Tokyo Electron Limited Methods of forming a mask for substrate patterning
US9741586B2 (en) * 2015-06-30 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structures
US10162265B2 (en) * 2015-12-09 2018-12-25 Rohm And Haas Electronic Materials Llc Pattern treatment methods
US10503070B2 (en) * 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
KR102515807B1 (ko) * 2016-01-11 2023-03-31 삼성디스플레이 주식회사 표시 장치 및 이의 제조 방법
JP6741471B2 (ja) * 2016-05-17 2020-08-19 東京応化工業株式会社 レジストパターン形成方法
CN107703722B (zh) * 2016-08-08 2020-12-15 中芯国际集成电路制造(上海)有限公司 图案化光阻的形成方法
US10115594B1 (en) * 2017-09-05 2018-10-30 Nanya Technology Corporation Method of forming fine island patterns of semiconductor devices
JP2019078812A (ja) * 2017-10-20 2019-05-23 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 高精細パターンの製造方法およびそれを用いた表示素子の製造方法
JP2019078810A (ja) * 2017-10-20 2019-05-23 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 微細パターンの製造方法およびそれを用いた表示素子の製造方法
US10410878B2 (en) 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
CN107761663A (zh) * 2017-11-08 2018-03-06 江苏科技大学 一种板桩码头结构及其施工方法
CN111542919B (zh) * 2018-01-05 2024-05-10 东京毅力科创株式会社 先进的接触孔图案化的方法
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11143953B2 (en) 2019-03-21 2021-10-12 International Business Machines Corporation Protection of photomasks from 193nm radiation damage using thin coatings of ALD Al2O3
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11886121B2 (en) * 2019-08-30 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming patterned photoresist

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
JPS5844715A (ja) * 1981-09-11 1983-03-15 Fujitsu Ltd 微細パタ−ン形成方法
IE57143B1 (en) 1984-06-01 1992-05-06 Rohm & Haas Photosensitive coating compositions,thermally stable coating prepared from them,and the use of such coatings in forming thermally stable polymer images
CA1307695C (en) 1986-01-13 1992-09-22 Wayne Edmund Feely Photosensitive compounds and thermally stable and aqueous developablenegative images
EP0358358B1 (en) * 1988-08-26 1994-11-30 Nippon Oil And Fats Company, Limited Pigment dispersing agent
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5100696A (en) * 1989-07-10 1992-03-31 Ncr Corporation Magnetic thermal transfer ribbon
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
JP3340493B2 (ja) * 1993-02-26 2002-11-05 沖電気工業株式会社 パターン形成方法、位相シフト法用ホトマスクの形成方法
US5656121A (en) * 1994-08-19 1997-08-12 Minnesota Mining And Manufacturing Company Method of making multi-layer composites having a fluoropolymer layer
JP3444692B2 (ja) * 1995-04-14 2003-09-08 沖電気工業株式会社 パターン形成方法
JPH09191007A (ja) * 1996-01-11 1997-07-22 Sumitomo Chem Co Ltd フォトレジスト用剥離液
JPH11214510A (ja) * 1998-01-26 1999-08-06 Toshiba Corp 自己整合型パターン形成方法
JPH11251214A (ja) * 1998-02-27 1999-09-17 Sharp Corp タンタル薄膜回路素子の製造方法
US6218085B1 (en) * 1999-09-21 2001-04-17 Lucent Technologies Inc. Process for photoresist rework to avoid sodium incorporation
JP2001135565A (ja) * 1999-11-08 2001-05-18 Sony Corp 半導体装置の製造方法
TWI281101B (en) * 2000-02-28 2007-05-11 Mitsubishi Electric Corp Developing process, process for forming pattern and process for preparing semiconductor device using same
JP4329216B2 (ja) * 2000-03-31 2009-09-09 Jsr株式会社 レジストパターン縮小化材料及びそれを使用する微細レジストパターンの形成方法
JP2002006512A (ja) * 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
KR100546098B1 (ko) * 2000-12-27 2006-01-24 주식회사 하이닉스반도체 열산 발생제를 포함하는 포토레지스트 조성물을 이용하여포토레지스트 패턴 폭 감소 현상을 개선하는 방법
JP2002278053A (ja) * 2001-03-16 2002-09-27 Fuji Photo Film Co Ltd ポジ型フォトレジスト組成物
US7670556B2 (en) * 2001-07-10 2010-03-02 Wisconsin Alumni Research Foundation Surface plasmon resonance imaging of micro-arrays
JP3878451B2 (ja) * 2001-10-22 2007-02-07 富士フイルムホールディングス株式会社 感光性樹脂転写材料、画像形成方法、カラーフィルターとその製造方法、フォトマスクとその製造方法
JP2003228179A (ja) * 2002-01-31 2003-08-15 Mitsubishi Gas Chem Co Inc 銅配線基板向けアミン含有レジスト剥離液および剥離方法
WO2003077029A1 (en) 2002-03-04 2003-09-18 Shipley Company, Llc Negative photoresists for short wavelength imaging
JP4041750B2 (ja) * 2002-06-28 2008-01-30 富士フイルム株式会社 染料含有硬化性組成物、カラーフィルタ及びその製造方法
JP3675434B2 (ja) * 2002-10-10 2005-07-27 東京応化工業株式会社 微細パターンの形成方法
US6740473B1 (en) * 2002-11-28 2004-05-25 United Microelectronics Corp. Method for shrinking critical dimension of semiconductor devices
US6916594B2 (en) * 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7399582B2 (en) * 2003-07-17 2008-07-15 Az Electronic Materials Usa Corp. Material for forming fine pattern and method for forming fine pattern using the same
US7232641B2 (en) 2003-10-08 2007-06-19 Shin-Etsu Chemical Co., Ltd. Polymerizable compound, polymer, positive-resist composition, and patterning process using the same
JP4143023B2 (ja) * 2003-11-21 2008-09-03 株式会社東芝 パターン形成方法および半導体装置の製造方法
US20060003271A1 (en) * 2004-06-30 2006-01-05 Clark Shan C Basic supercritical solutions for quenching and developing photoresists
KR100560633B1 (ko) * 2004-08-16 2006-03-17 삼성전자주식회사 커패시터 제조 방법
KR100575001B1 (ko) * 2004-12-10 2006-04-28 삼성전자주식회사 상호 결합 없는 이중 포토 리소그라피 방법
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP2007010785A (ja) * 2005-06-28 2007-01-18 Fujifilm Holdings Corp 永久パターン形成方法
DE102005037022A1 (de) * 2005-06-28 2007-01-04 Osram Opto Semiconductors Gmbh Strahlungsemittierender optoelektronischer Halbleiterchip mit einer Diffusionsbarriere
JP4830596B2 (ja) * 2006-04-10 2011-12-07 凸版印刷株式会社 レジストパターン形成用基板、レジストパターン形成方法およびパネル
WO2007148160A2 (en) * 2006-06-20 2007-12-27 Freescale Semiconductor, Inc. Method of multi-layer lithography
KR20080023814A (ko) * 2006-09-12 2008-03-17 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
US7568362B2 (en) * 2006-10-16 2009-08-04 Calibre International, Llc Bean bag holder to be used to hold a can or bottle
TWI375130B (en) 2006-10-30 2012-10-21 Rohm & Haas Elect Mat Compositions and processes for immersion lithography
JP2010511915A (ja) * 2006-12-06 2010-04-15 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド 二重パターン形成プロセスを利用した装置製造プロセス
KR100876783B1 (ko) * 2007-01-05 2009-01-09 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP5270840B2 (ja) * 2007-01-23 2013-08-21 東京応化工業株式会社 パターン微細化用被覆形成剤及びそれを用いた微細パターンの形成方法
CN100490059C (zh) * 2007-03-21 2009-05-20 山东华光光电子有限公司 一种高亮度发光二极管芯片的制备方法
US8088566B2 (en) * 2007-03-26 2012-01-03 Fujifilm Corporation Surface-treating agent for pattern formation and pattern-forming method using the surface-treating agent
JP2008268855A (ja) * 2007-03-26 2008-11-06 Fujifilm Corp パターン形成用表面処理剤、及び該処理剤を用いたパターン形成方法
WO2008143301A1 (ja) * 2007-05-23 2008-11-27 Jsr Corporation パターン形成方法及びそれに用いる樹脂組成物
JP4840255B2 (ja) * 2007-05-29 2011-12-21 Jsr株式会社 パターン形成方法及びそれに用いる樹脂組成物
US8642474B2 (en) * 2007-07-10 2014-02-04 Advanced Micro Devices, Inc. Spacer lithography
JP4973876B2 (ja) * 2007-08-22 2012-07-11 信越化学工業株式会社 パターン形成方法及びこれに用いるパターン表面コート材
JP2009053547A (ja) * 2007-08-28 2009-03-12 Tokyo Ohka Kogyo Co Ltd パターン形成方法及び被覆膜形成用材料
JP5013119B2 (ja) * 2007-09-20 2012-08-29 信越化学工業株式会社 パターン形成方法並びにこれに用いるレジスト材料
TWI407262B (zh) 2007-11-05 2013-09-01 羅門哈斯電子材料有限公司 浸潤式微影組成物及製程
US7659208B2 (en) * 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7838200B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
US7838198B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
JP2009194207A (ja) * 2008-02-15 2009-08-27 Tokyo Electron Ltd パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置
JP5154395B2 (ja) * 2008-02-28 2013-02-27 東京エレクトロン株式会社 半導体装置の製造方法及びレジスト塗布・現像処理システム
EP2101217B1 (en) * 2008-03-14 2011-05-11 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist compositon, and patterning process
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US20090253078A1 (en) * 2008-04-07 2009-10-08 Sokudo Co., Ltd. Double exposure lithography using low temperature oxide and uv cure process
CN102227413B (zh) * 2008-10-06 2014-11-05 联合碳化化学品及塑料技术公司 制备环状n-氨基官能化三胺的方法
KR101523951B1 (ko) * 2008-10-09 2015-06-02 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
JP5071688B2 (ja) * 2009-02-18 2012-11-14 信越化学工業株式会社 パターン形成方法及びレジスト変性用組成物
JP5212245B2 (ja) * 2009-04-23 2013-06-19 住友化学株式会社 レジストパターンの製造方法
KR20100117025A (ko) * 2009-04-23 2010-11-02 스미또모 가가꾸 가부시키가이샤 포토레지스트 패턴 형성 방법
JP5112380B2 (ja) * 2009-04-24 2013-01-09 信越化学工業株式会社 パターン形成方法
JP5545029B2 (ja) * 2009-05-25 2014-07-09 信越化学工業株式会社 レジスト変性用組成物及びパターン形成方法
TWI403520B (zh) * 2009-05-25 2013-08-01 Shinetsu Chemical Co 光阻改質用組成物及圖案形成方法
JP5573356B2 (ja) * 2009-05-26 2014-08-20 信越化学工業株式会社 レジスト材料及びパターン形成方法
EP2287668A1 (en) * 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
EP2287667B1 (en) * 2009-06-26 2013-03-27 Rohm and Haas Electronic Materials, L.L.C. Self-aligned spacer multiple patterning methods
JP2011022187A (ja) 2009-07-13 2011-02-03 Konica Minolta Business Technologies Inc 静電荷像現像用トナー、フルカラートナーキット、画像形成方法
JP5753351B2 (ja) * 2009-11-19 2015-07-22 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 電子デバイスを形成する方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI552383B (zh) * 2013-08-16 2016-10-01 歐斯朗奧托半導體股份有限公司 用於在發光半導體組件中形成結構的光微影方法
US9466487B2 (en) 2013-08-16 2016-10-11 Osram Opto Semiconductors Gmbh Photolithographic methods of producing structures in radiation-emitting semiconductor components

Also Published As

Publication number Publication date
KR101698400B1 (ko) 2017-01-20
TWI474378B (zh) 2015-02-21
KR20110002800A (ko) 2011-01-10
JP2011070165A (ja) 2011-04-07
CN101963754A (zh) 2011-02-02
CN101963756A (zh) 2011-02-02
US8465901B2 (en) 2013-06-18
KR101671289B1 (ko) 2016-11-16
KR20110002798A (ko) 2011-01-10
CN101937838A (zh) 2011-01-05
JP5698925B2 (ja) 2015-04-08
CN101963756B (zh) 2014-12-17
US8492068B2 (en) 2013-07-23
JP5731764B2 (ja) 2015-06-10
US20110008729A1 (en) 2011-01-13
US8338079B2 (en) 2012-12-25
US8507185B2 (en) 2013-08-13
JP5698926B2 (ja) 2015-04-08
JP2011071479A (ja) 2011-04-07
JP2011071480A (ja) 2011-04-07
CN101963754B (zh) 2012-12-19
EP2287670A1 (en) 2011-02-23
EP2287668A1 (en) 2011-02-23
TWI420571B (zh) 2013-12-21
US20130069246A1 (en) 2013-03-21
KR20110002797A (ko) 2011-01-10
KR20110002801A (ko) 2011-01-10
JP2011070164A (ja) 2011-04-07
TWI449084B (zh) 2014-08-11
JP2011066393A (ja) 2011-03-31
KR101766289B1 (ko) 2017-08-08
TW201110196A (en) 2011-03-16
US20100330499A1 (en) 2010-12-30
EP2287669A1 (en) 2011-02-23
KR101698396B1 (ko) 2017-01-20
US20100330501A1 (en) 2010-12-30
JP5698922B2 (ja) 2015-04-08
CN101937838B (zh) 2012-10-03
US20100330500A1 (en) 2010-12-30
KR101724384B1 (ko) 2017-04-07
US20100330503A1 (en) 2010-12-30
US20100330471A1 (en) 2010-12-30
KR20110002799A (ko) 2011-01-10
US8492075B2 (en) 2013-07-23
US8338083B2 (en) 2012-12-25
JP5698924B2 (ja) 2015-04-08
TW201110195A (en) 2011-03-16

Similar Documents

Publication Publication Date Title
TW201118924A (en) Methods of forming electronic devices
KR101967189B1 (ko) 자기 정렬 스페이서를 포함하는 기판
KR101746017B1 (ko) 전자 장치의 형성 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees